ImageVerifierCode 换一换
格式:DOCX , 页数:8 ,大小:41.30KB ,
资源ID:21455379      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/21455379.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程设计 EDA MAXPLUSIIWord文档下载推荐.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程设计 EDA MAXPLUSIIWord文档下载推荐.docx

1、当CNT_EN高电平时允许计数;低电平时停止计数,并保持所计数的脉冲数。在停止计数期间,首先需要个锁存信号LOAD的上跳沿将计数器在前一秒钟的计数值锁存进锁存器REG32B中,并由外部的十六进制7段译码器译出,显示计数值。设置锁存器的好处是数据显示稳定,不会由于周期性的清零信号而不断闪烁。锁存信号后,必须有一清零信号RST _CNT对计数器进行清零,为下一秒的计数器操作做准备。 序号项 目等级优秀良好中等及格不及格1课程设计态度评价2出勤情况评价3任务难度评价4工作量饱满评价56设计中创新性评价7论文书写规范化评价8综合应用能力评价综合评定等级学生签名: ( ) 2009年12月 22 日课程

2、设计评阅意见评阅人 职称 2009年12月 30日 目录第1章 课程设计任务书.2第2章 程序设计目的.5第3章 程序实现思路.5第4章 程序清单.6第5章 课程设计心得.9第6章 参考文献.10第2章 程序设计目的实验目的:设计8位十六位进制频率计,学习较复杂的数字系统设计方法。第3章 程序实现思路实验内容1:分别仿真测试模块程序1、程序2和程序3,再结合程序4完成频率计的完整设计和硬件实现,并给出其测频时序波形及其分析。8个数码管以十六进制形式显示测频输出;待测频率输入FIN由CLOCKO输入;1HZ测频控制信号CLK1HZ可与CLOCK2输入。注意,这时8个数码管的测频显示值是十六进制的

3、。实验内容2:将频率计改为8位十进制频率计,注意此设计电路的计数器必须是8个4位的十进制计数器,而不是1个。此外注意在测频速度上给予优化。实验内容3:用LPM模块取代程序2和程序3,在完成同样的设计任务。第4章 程序清单程序1LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FTCTRL IS PORT (CLKK : IN STD_LOGIC; CNT_EN : OUT STD_LOGIC; RST_CNT : Load : OUT STD_LOGIC );END FTCTRL;AR

4、CHITECTURE behav OF FTCTRL IS SIGNAL Div2CLK : STD_LOGIC;BEGIN PROCESS(CLKK) BEGIN IF CLKKEVENT AND CLKK = 1 THEN Div2CLK = NOT Div2CLK; END IF;END PROCESS;PROCESS (CLKK,Div2CLK)IF CLKK=0 AND Div2CLK= THEN RST_CNT=; ELSE RST_CNT = Load CNT_EN = Div2CLK;END behav;程序2ENTITY REG32B IS PORT ( LK : DIN :

5、 IN STD_LOGIC_VECTOR(31 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);END REG32B;ARCHITECTURE behav OF REG32B ISBEGIN PROCESS(LK,DIN)IF LKEVENT AND LK = THEN DOUT = DIN;程序3ENTITY COUNTER32B IS PORT (FIN :GLR :ENABL :DOUT :END COUNTER32B;ARCHITECTURE behav OF COUNTER32B ISSIGNAL CQI : STD_LOGIC

6、_VECTOR(31 DOWNTO 0);PROCESS(FIN,CLR,ENABL)IF CLR = THEN CQI );ELSIF FINEVENT AND FIN =I F ENABL = = CQI + 1;END IF;DOUT CLK1HZ,CNT_EN=TSTEN1,RST_CNT =CLR_CNT1,Load =LOAD1);U2: REG32B PORT MAP( LK = Load1, DIN=DTO1, DOUT = DOUT);U3 : COUNTER32B PORT MAP( FIN = FSIN, CLR = CLR_CNT1,ENABL = TSTEN1,DOU

7、T=DTO1 );END struc; 第5章课程设计心得这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得

8、到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件

9、,编译才能得到完满成功。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!第6章参考文献1 苏金明,周建斌. 用VB.NET和VC#.NET开发交互式CAD系统M. 北京:电子工业出版社,20042 普悠玛. VB.NET程序设计示例导学M. 北京:科海电子出版社,20033 李万红,段恒勇. VB.NET实用培训教程M. 北京:清华大学出版社,20024 李万红,梁靓. VB.NET程序设计入门M. 北京:5 刘斌文. 精通Visual Basic.NET中文版M. 北京:机械工业出版社,2004

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1