ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:335.30KB ,
资源ID:21326333      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/21326333.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(电路综合设计实验设计实验2实验报告Word格式.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

电路综合设计实验设计实验2实验报告Word格式.docx

1、DDS原理:1DDS原理的背景1973 年,J. Tierney 和 C. M. Tader 等人在A Digital Frequency Synthesizer一文中首次提出了 DDS的概念,但限于当时的技术条 件,DDS并没有引起人们的足够重视。上世纪90年代以来,随着数 字集成电路和微电子技术的发展,DDS技术的优越性才日益体现出来。2DDS的基本结构DDS与大多数的数字信号处理技术一样,它的基础仍然是奈圭斯特 采样定理。奈圭斯特采样定理是任何模拟信号进行数字化处理的基 础,它描述的是一个带限的模拟信号经抽样变成离散值后可不可以由 这些离散值恢复原始模拟信号的问题。奈圭斯特采样定理告诉我

2、们,当抽样频率大于或者等于模拟信号最 高频率的两倍时,可以由抽样得到的离散信号无失真地恢复出原始模 拟信号。只不过在DDS技术中,这个过程被颠倒过来了。DDS不是对 模拟信号进行抽样,而是一个假定抽样过程已经发生且抽样值已经量 化完成,如何通过某种方法把已经量化的数值重建原始信号的问题。 DDS电路一般由参考时钟、相位累加器、波形存储器、D/A转换器(DAC) 和低通滤波器(LPF )组成。其结构框图如下图所示:其中,为参考时钟频率,K为频率控制字,N为相位累加器位 数,A为波形存储器位数,D为波形存储器的数据位字长和D/A转换 器位数。DDS系统中的参考时钟通常由一个髙稳定度的晶体振荡器来产

3、生, 用来作为整个系统各个组成部分的同步时钟。频率控制字(Frequency Control Word, FCW)实际上是二进制编码的相位增量值,它作为相 位累加器的输入累加值。相位累加器由加法器和寄存器级联构成,它 将寄存器的输出反馈到加法器的输入端实现累加的功能。在每一个时 钟脉冲,相位累加器把频率字累加一次,累加器的输出相应增加一 个步长的相位增量,由此可以看岀,相位累加器的输出数据实质上是 以为步长的线性递增序列(在相位累加器产生溢出以前),它反映了 合成信号的相位信息。相位累加器的输出与波形存储器的地址线相 连,相当于对波形存储器进行查表,这样就可以把存储在波形存储器 中的信号抽样值

4、(二进制编码值)查出。在系统时钟脉冲的作用下, 相位累加器不停的累加,即不停的查表。波形存储器的输出数据送到 D/A转换器,D/A转换器将数字量形式的波形幅度值转换成所要求合成频率的模拟量形式信号,从而将波形重新合成出来。若波形存储器 中存放的是正弦波幅度量化数据,那么D/A转换器的输出是近似正弦 波的阶梯波,还需要后级的低通平滑滤波器进一步抑制不必要的杂波 就可以得到频谱比较纯净的正弦波信号。如下图所示为DDS各个部分 的输出信号:由于受到字长的限制,相位累加器累加到一定值后,就会产生一次 累加溢出,这样波形存储器的地址就会循环一次,输出波形循环一周。相位累加器的溢出频率即为合成信号的频率。

5、可见,频率控制字K越 大,相位累加器产生溢出的速度越快,输出频率也就越髙。故改变频 率字(即相位增量),就可以改变相位累加器的溢出时间,在参考频率不变的条件下就可以改变输出信号的频率。3DDS原理根据傅立叶变换定理,任何满足Dirichlet条件的周期信号都可以 分解为一系列正弦或者余弦信号之和。为了不失一般性,下面以正弦 信号的产生为例来说明DDS的基本原理。我们知道,正、余弦信号用可以用复数形式表示为:cos(2/Z) = Re(Exp(j27rft) 式(2-1)sin(2) = Im(v%2 劝)(2-2)上图描述了矢量斤绕原点沿正方向(逆时针)旋转时,其模值R与 X轴夹角8(/)(相

6、位角)及斤在y轴上的投影S三者之间的关系。当R连 续地绕原点旋转,S将取R+R之间的任意值,&将以2兀为模取 02龙之间的任意值。如果将S看作我们欲重构正弦信号的幅度值, 则相位角&(/)和S的关系为:S = Rsin&(/)。现将相位数字化(采样、 量化),将2兀量化成M等份,则相位量化的最小间隔为 & = 2/r/M, 这样造成的结果是重构信号的幅度值S也相应离散化:S = R sin( &) = /?sin( n) n = 1,2,M 式M(2-3)由式(2-3)可以看出,S只能取与相位对应的幅度值。如上图所示,设此时斤不是绕原点连续旋转,而是在系统时钟拆的 控制下以相位增量进行阶跃式旋

7、转(上图中厶a = 很容易可 以看出来,在相位周期变化的同时,输出信号的幅度S也在周期重复 着,因此,重构信号的周期在幅度中也就体现出来了。为了进一步探讨相位增量对输出信号频率的影响,我们分别以相位 增量为;r/4和;r/8重构信号幅度,分别如下图1和2所示。在此,我 们假设相位累加是在相同的系统时钟的进行的,即对于不同的相位 增量,是固定不变的,这是理解相位增量和重构信号频率关系的基 础。图1相位增量为丹4时相位幅度的映射关系对比图1和图2,我们很容易发现,当相位增量减少为原来的二分 之一时,输出信号的采样值密集度就成了原来的两倍,那么R旋转一 周的时间自然也增大为原来的两倍,即To=2To

8、O周期T与频率/成倒 数关系,由此可得两种情况下输出重构信号的频率关系:/,=2(n) = 2f -nTc zz = 0,1,2,. 式(27)该序列的显著特性是线性,即相邻样值之间的相位增量是一常数, 且仅与信号频率/有关,当式(2-7)中的取1时得到量化相位增量 为:0 = 2/7;(2-8)倘若我们将2龙相位均匀量化M等份,人为构造一个相位值式(2-9)并且使得那么就可以得到如下关系:(2-10)根据以上原理,如果我们用变量K构造一个量化序列:0(”)= nK然后完成0()到另一个序列S)的映射,即由(p(n)构造序列:S(n) = sin (p(n) =sin(nA)M 丿 M将式(2

9、-10)代入式(2-12)可得:S (n) = sin(2疋Q. n)(2-13)对比式(2-6)跟式(2-13),我们不难发现,S&)其实就是信号S(r)经 过采样频率厶抽样后的离散时间序列。在满足奈圭斯特采样定律的的 条件下,即: = 1M fc2(2-14)S)可以经过D/A转换和低通平滑滤波唯一地恢复出S。可见,通过上述变换,变量K将唯一地确定一个单频模拟正弦信号S:S(gin(2 诒)式(2-15)该信号的频率为:式(2-16)式(2-16)就是DDS的基本方程,是利用DDS进行频率合成的立足 点。在实际的DDS应用中,一般取N为正整数,于是DDS的 基本方程可写成:L=fc K =

10、 1,2,.,2Z 式(2-17)由式(2-17)可以看出,当K = 1时,DDS系统输出信号频率尤最小,而这个最小频率同时也是DDS系统的频率分辨率:min(2-18)对于DDS系统从波形存储器中读数据的过程,我们可以将其看作 是对波形存储器中的波形数据再次釆样的过程,也就是说,DDS系统 查表的过程就是从波形存储器中二次采样过程,一个周期内查表的点 数即为采样的点数。DDS系统要恢复出原始波形,其在一个周期内至 少要取样两点,这是受我们一直都在强调的奈圭斯特采样定理的限 制。那么DDS系统在理论上能输出的最大频率是:fomax = 式(2-19)经过以上的分析,我们得出以下几点结论:(1)

11、DDS系统的输出频率只与频率控制字K、系统时钟频率、 相位累加器位数N有关。在系统时钟频率和相位累加器位数固定 时,通过改变频率控制字K的值,就可以方便地改变输出信号的频率。(2)DDS系统的频率分辨率只与系统的系统时钟频率/;和相位累 加器位数N有关。想要提高系统的分辨率,可以增加相位累加器位数 或者是降低系统时钟频率。(3)DDS理论上最大输出频率不会超过系统时钟频率的二分之 一,但在实际应用中,由于DDS系统中的低通滤波器非理想特性,由 通带到阻带之间存在着一个过渡带,工程中DDS最髙输出频率只取到40%左右。DDS技术特点(1) DDS技术可以用于产生任意波形基于前面对DDS系统的基本

12、结构分析,很容易理解,只要改变存 储在波形存储器中的波形数据,就可以改变输出波形。所以对于任何 周期性波形,只要满足采样定理,都可以利用DDS技术来实现。(2) DDS系统具有很高的频率分辨率DDS系统输出频率的分辨率和频点数随相位累加器的位数成指数增 长,由式(2-21)可知,在系统时钟频率不变的情况下,只要增大相位 累加器的位数N,就可以得到几乎是任意小的频率分辨率,可以满足 精细频率控制的要求。DDS如此精细的频率分辨率,使其输出频率已 十分逼近连续变化。(3) 输出频率切换速度快且相位保持连续与锁相频率合成相比,由于DDS系统是一个开环系统,所以当一个新 的频率控制字送到时,它会迅速合

13、成这个新的频率,实际的频率切换 时间可以达ns级。同时,频率切换时,DDS系统的输出波形的相位 是连续的。DDS系统的频率字改变时,输出波形的变化过程可以用图图4频率控制字改变时累加器的输出值和输出波形的变化(仿真)在波形输出到P点时,频率字发生了改变(变小),相位累加器的累 加值即相位步进变小,其输出值斜率也变小,系统的输出波形的频率 也在同时刻变小。DDS系统在频率字发生改变后的一个时钟周期,其 输出频率就可以就转换到了新的频率上,也即在频率字的值改变以 后,累加器在经过一个时钟周期后就按照新的频率字进行累加,开始 合成新的频率。所以我们可以认为DDS的频率切换是在一个系统时钟 周期内完成

14、的,系统时钟频率越高,切换速度越快。另外,从前面对DDS技术原理的分析可知,要改变输出频率,实际 上改变的是频率字,也就是相位增量。当频率字的值从&改变为心之 后,相位累加器是在已有的累积相位上,再对心进行累加,相位函数 曲线是连续的。从图2.12也可以看出,只是在频率字改变的瞬间相 位函数曲线的斜率发生了突变,相位值并没有发生跳跃,因此DDS能 够在频率切换的过程中保持相位连续,输出波形能够平滑地从一个频 率过渡到另外一个频率。由于DDS釆用数字化技术,最终合成信号是经过D/A转换得到的, 所以不可避免的存在着以下缺点:(1)DDS在工程中的最高输出频率一般只能达到系统时钟频率的 40%,要

15、想获得较高的频率,就必须提高系统时钟频率,也就是说DDS 的相位累加器、波形存储器和D/A转换器都将工作在较髙的时钟频率 下,它的实现依赖于髙速数字电路和高速D/A转换器。这也是DDS系 统在早期没有受到重视,而直到最近几年才迅速发展的原因。(2)DDS系统采用数字合成技术,先离散信号再变换成模拟信号 输出,这其中导致了各种误差,尤其是相位截断误差,因此各种杂波 是不可避免的。为了具有较髙的输出频率,DDS系统的参考时钟频率一般都比较 髙,根据式(2-18),在较髙的时钟频率下,要想获得较髙的频率分 辨率,只有通过增加相位累加器的位数N,故一般N的取值都较大。 如果相位累加器的所有输出都用来作

16、为波形存储器的寻址地址,那么 存储器的容量会大得惊人。例如,如果32位累加器的所有位都用来 作为存储器的地址,那么需要4G个存储单元,而如果换成48位的累 加器,那么就需要256T (1T二1024G)个存储单元,这样的设计显然 是没有办法接受的。因此存储器的地址线位数A般都小于N。这样 存储器的地址线就只能接到相位累加器的输出的髙A位上,而低W-A 位则要舍弃,也就产生了相位截断误差,表现在输出频谱上就是杂散 分量。3.电路与程序设计:针脚连接方式程序设计的顶层设计代码如下:详细代码请查看附件的工程文件LIBRARY IEEE;USE IEEE.STD_L0GIC_1164. ALL;USE

17、 IEEE.STD_L0GIC UNSIGNED. ALL;顶层ENTITY mydds IS设计PORT (CLK : IN STD_L()GIC;FWORD : IN STD_LOGIC_VECTOR(4 DOWNTO 0);MODE : IN STD_LOGIC_VECTOR(1 DOWNTO 0);FOUT : OUT STD_L0GIC_VECT0R(7 DOWNTO 0);END mydds;ARCHITECTURE behav OF mydds ISCOMPONENT REG8B load event and load = 1 dout F8B,k_out=F8B_out);U1

18、 : ADDER8B PORT MAP( A=F8B_out,B=B8B, S二A8B );U2 : REG8B PORT MAP( D0UT=B8B,DIN=A8B, LOAD=CLK );U3 : REG8B PORT MAP( DOUT二C8B,DIN二B8B, L()AD=q=wire_sin, inclock=U5 : lpm_R0Msq PORT MAP ( address=C8B(12 downto 0), q=wire_sq, inclock=U6 : lpm_R0Msj PORT MAP ( address=wire_sj, inclock=U7 : modechoose P

19、ORT MAP(mode_in=mode,data_sin二wire_sin,data_sq=wire_sq,data_sj= wire_sj,data_out=FOUT);END behav;4.测试方案与测试结果要求:(1) 实现方波,正弦波,三角波输出。(2) 通过按键进行频率控制(3) 频率范围为lOKHz300KHZ。(因实验仪器达不到精度因此下调 了范围指标)(4) 频率可以设置,最小设置单位为lOkHzo (因实验仪器达不到精 度因此下调了指标)(5) 可以进行点频测量,幅频测量误差的绝对值W0.5dB,相频测量 误差的绝对值W5%。首先是用QuartusII 13.0软件编译源

20、程序,分配管脚,定义时钟、 复位、选择波形、八位数据、DA时钟管脚。编译生成.sof文件通过JTAG接口下载到FPGA板子上,连接DA模块和示波器。分别按键选 择不同的波形,调试程序。其次,通过锁相环改动波形频率,编译下载程序。对不同频率的波 形,进行调试。最后,编译生成.sof文件,转换成.jic文件通过JTAG接口固化到 FPGA芯片里。测试:给DE0板供给5V的直流电压,使其能够正常工作。然后分别按下 相应的按钮使其分别输出三角波,sin波和方波。若三个波形能够正 常输出且不产生严重的失真,则我们认为该函数信号发生器满足第一 条指标,是合格的。其次,按动DE0板上对应控制频率的按钮,观察示波器上的波形参 数,如果每按动一次按钮,输出波形的频率都会发生改变,且每次改 变都为10KHZ,而且输出波形的频率范围为10KHZ-300KHZ,则我们认 为该函数信号发生器满足这三条指标,是合格的。最后,我们要该函数信号发生器进行点频测量。对于10KHZ-300KHZ 范围内的每个频率点,我们都要对其进行测量。首先确定该函数信号 发生器在某一频率点上的标准频率0,然后用示波器测得该点的实 际频率fl,那么该点幅频测量误差=fO-flfOlOO%,经过换算得到以 dB为单位的数值。同理可得相频测量的误差。如果测得幅频

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1