ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:368.07KB ,
资源ID:21257328      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/21257328.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(ChipScope9使用方法文档格式.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

ChipScope9使用方法文档格式.docx

1、好了下面开始我们的旅程!首先我们在ISE环境下建立一个项目:在弹出的下拉列表里我们可以选择项目类型,这里我们可以选择两种:一种是HDL,这样我们就可以在ISE下建立VHDL、Verilog文件然后综合实现了;另一种是老版本的简明教程所推荐的EDIF项目,这种项目是使用其他的综合工具(如Synplify Pro)来生成综合文件的,然后通过ISE完成对项目Netlist文件和ChipScope生成的Netlist文件的整合以生成实现文件的。 这里我们仅使用ISE来完成整个设计,所以选择HDL项目文件。 接下来添加一些VHDL源代码,www.asic- 这个网站不错里面有些很好的VHDL源代码,我们

2、借用其中的gray_counter.vhd来生成项目。添加一个Top文件来集成这个模块和将要加入的逻辑分析仪模块。 接下来就要用ChipScope来生成逻辑分析仪的核,首先打开Xilinx ChipScope Pro Core Generator。如下图,选择第一项先生成一个逻辑分析仪控制器。之后的窗口是指定输出网表文件、目标平台以及icon的一些参数。其中最主要的是Number of Control Ports它指定了一个icon可以挂载几个ila而ila就是我们链接在信号上的探测点所以这个控制端口的数量一定要考虑清楚。另外绝对不能忽略生成的*.Edn文件的存放的位置,一定要在当前的项目目录

3、下,要不然在implement阶段会找不到Netlist而无法通过编译的。我们的配置如下图所示:再接下来就是设置生成HDL例子文件,高手们不需要例子,我们大家都是高手,所以我们都不需要例子。呵呵。最后选择Generator Core来生成icon所要的文件。包括(*.edn、*.ncf、*.arg等)完成上面的工作后就是生成ILA,基本流程和ICON的生成几乎一样,要注意输出文件的位置和刚才生成的ICON是一样的。另外这个过程中会多出来很多选项,每个选项的含义可以参考Xilinx网站提供的chipscope_pro_sw_cores_9_1i_ug029.pdf或者参看老版本的简明教程。当然了

4、现在我要的版本是这个,若干年后是什么样就不知道了,不过可以推测出来的,因为大家都是牛人么。其实话说回来,不用查那些文档也可以,看选项名大概就能猜出是干什么的,最重要的无非就是设置一些输出目录,添加一些Trig端口资源,再或者选择数据存储什么的挺简单的。上述工作之后,在原来建立的项目里就有了两个重要的文件产生icon.edn和ila.edn。接下来我们修改原来的顶层文件,引入两个component,修改结果如下,红色部分为额外加入的语句,具体项目可以斟酌考虑修改。library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.A

5、LL;use IEEE.STD_LOGIC_UNSIGNED.ALL;- Uncomment the following library declaration if instantiating- any Xilinx primitives in this code.-library UNISIM;-use UNISIM.VComponents.all;entity Top_Gray is port ( clk :in std_logic; - Input clock reset std_logic - Input reset);end Top_Gray;architecture Behavi

6、oral of Top_Gray is component gray_counter is coutout std_logic_vector (7 downto 0); - Output of the counter enable : - Enable counting end component;- - - ILA core component declaration - component ila port ( control in std_logic_vector(35 downto 0);trig_out out std_logic; trig0 std_logic_vector(7

7、downto 0) ); ICON core component declaration component icon control0 out std_logic_vector(35 downto 0) signal control0, trig0 , tmp : signal enable : std_logic := 1;signal trig_outbegin uut : gray_counter port map( cout = tmp, enable = enable, clk = clk, reset = reset ILA core instance i_ila : ila p

8、ort map = control0, trig_out trig_out, trig0 trig0 = tmp; ICON core instance i_icon : icon control0end Behavioral;这样就完成了项目的建立,可以开始综合与实现生成bit文件了。当然一定要注意UCF文件的建立和正确配置。一切安静下来后,从ISE启动ChipScope Pro Analyzer,选择左上角的那个下载按钮,然后选择要下载的器件,在NewProject里出现的器件中选择要下载的,在弹出的鼠标右键菜单里选择configure如下图: 在我们的设备里就出现了四个选项,双击任意一个就会出现相应的窗口,最常用的就是Trigger Setup和waveForm 如图: 之后就是参考xilinx提供的ChipScope提供的文档来设置各个Trig了,都走到了这步剩下的就轻松多了。最后,最上面的那个开始按钮,再按一下板子上的Reset按钮就可以等待结果了。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1