ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:374.76KB ,
资源ID:20670228      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/20670228.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(实验一4位全加器的设计概论Word下载.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

实验一4位全加器的设计概论Word下载.docx

1、port (a : in std_logic;b :ci : y : out std_logic;co : out std_logic);end entity;architecture rtl of f_add isbegin(co,y)=(0,a)+(,b)+(,ci);end rtl;VHDL源程序如下(行为描述)的RTL与technology map视图VHDL源程序如下(数据流描述):library ieee;entity f_add_df is ( a : b : ci : co : );architecture rtl of f_add_df isy=a xor b xor ci;

2、co af,b= bf,y=s1,co= co1 ); U2: h_add port map (a= s1,b= cif,y= yf,co= co2 ); cof bf , cof = cof , cif = cif , af = af , yf = yf ) ; af = 1 after 400ns; bf after 200ns, after 400ns, after 600ns; cif = not cif after 100ns; END ;功能仿真波形如下:时序仿真波形如下:3.利用层次化原理图方法设计4位全加器(1)生成新的空白原理图,作为4位全加器设计输入(2)利用已经生成的1位

3、全加器作为电路单元,设计4位全加器。原理图设计如下(结构化描述):原理图设计如下(结构化描述)的RTL与technology map视图:entity f4_add_bh is in std_logic_vector(3 downto 0); out std_logic_vector(3 downto 0);architecture bh of f4_add_bh issignal yin:std_logic_vector(4 downto 0); yin&a)+(b)+(ci); y=yin(3 downto 0); co ci , a = a , y = y , b = b , co = co ) ; a1100 1110after 600ns; b=b+0010 after 100ns;最终的功能仿真波形如下:最终的时序仿真波形如下:四、思考题1、试着论述功能仿真和时序仿真的差别?2、试着论述结构体的行为描述、数据流描述和结构描述的区别?3、如何构建四位并行加法器?

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1