ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:146.87KB ,
资源ID:19817755      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/19817755.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(胡少华 110404128 开题报告DOCWord文档格式.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

胡少华 110404128 开题报告DOCWord文档格式.docx

1、 随着现在工业和技术的不断提高,传统的分离元件式模拟信号发生器频率稳定性低、可靠性差,已经不能满足实际应用的需要,所以就必须有频率稳定度性、精确度更高的信号发生器解决这个问题。为了避免传统通信信号发生器的信号发生技术带来的诸多不便,同时随着数字信号处理和集成电路技术的发展,直接数字频率合成技术(DDS)被广泛的应用到信号发生器的发生和制作当中。为了迎合大部分普通用户以及适应市场需求,绝大多数的数字频率集成芯片只能产生传统正弦波、矩形波、三角波等常用周期波形。在传统的模拟调制系统实现中,大多数是采用模拟乘法器加滤波器的方法来实现,这样就造成了精度低、可控性差、抗干扰能力弱的特点。虽然,现有的一些

2、主流商用数字频率合成也提供某些模拟调制的功能,但是,这种专用数字频率合成芯片把所有功能集中在一块芯片上,必然导致可控性不够灵活,而且性能会受到影响。这时如果能充分利用现场可编程门阵列(FPGA)的可重复编程性、资源的丰富性以及高速等性能,利用数字频率合成技术,可以实现一个高灵活性的、高可控性的模拟调制系统。除了能产生专用数字频率合成芯片所具备的单频连续波、非连续波、各种形式的线性调频信号以外,还可以轻松实现各种复杂的非线性调频信号、模拟调制信号,这些灵活性能和现场可编程是数字频率合成芯片所不能达到的。进而说现场可编程门阵列器件的高速、高可靠性和现场可编程等优点,已开始广泛应用于数字电路设计、微

3、处理器系统、数字信号处理、通信及等不同的科技领域。因此利用可编程门阵列器设计信号发生器具有相当高的优越性和非常广阔的应用前景。FPGA函数信号发生器用直接数字频率合成技术,使之具有以下优点:1、频率切换速度快; 2、输出相位噪声低;3、可以产生任意波形;4、全数字化实现,便于集成,体积小,重量轻;5、灵活的接口和控制方式;6、比专用芯片功耗也低。DDS实现原理DDS实现基本原理如图 1 所示 。 电路一般包括基准时钟 fc、 频率累加器、相位累加器 PA、 幅度 /相位转换电路 (即波形存储器 Memory) 、 D/A转换器 DAC和低通滤波器 LPF等部分组成 。 DDS的工作原理实质上是

4、以数控方式产生频率与相位均可控的波形 。 频率累加器对输入信号进行累加运 算 , 产生频率控制数据 X或相 位步进量 ; 相位加器由 N位全加器 和 N位累加寄存器级联而成 , 对代表频率的 2进制码进行累加运算 ,产生累加结果 Y; 幅度 /相位转换电路实质 是一个波形存储器 , 以供查表使用 。 读出 的数据送入 D/A转换器和低通滤波器 ; 工作过程为每来一个时钟脉冲 , N位加法器将频率控制数据 X与累加寄存器输出的累加相位数据相加 , 把相加后的结果送至累加寄存器的输入端 。 累加寄存器一方面将在上一时钟周 期作用后所产生的新的相位数据反馈到加法器的输入端 , 以使加法器在下一时钟的

5、作用下继续与频率控制数据 X相加 ; 另 一方面将这个值作为取样地址值送 入 幅度 /相位 转换 电 路 (即 图 1 中 的Memory) , 幅度/相位转换电路根据该地址值输出 相应的波形数据 。 最后经数 /模转换和低通滤波器将波形数据转换成所需的模拟波形 。相位累加器在基准时钟的作用下 , 进行线性相位累加 , 当相位累加器累加满量时就会产生一次溢出 , 这样就完成了 一个周 期 , 这个周 期也就是 DDS合成信号的一个频率周期 。 其主要关系式如下 :输出频率 f0 = (X/Y) fc其中 Y = 2N ( 1)频率分辨率 f= fc /2N ( 2)相位增量 = K* 2 /2

6、N ( 3)其中 X为频率控制字 , N为相位累加器位数 , fc为标准时钟频率 。采用直接数字式频率合成器构成波形发生器 ,用 随机读写存储 器 RAM存储 所需波形 的量化数据 , 按照不同频率要求以频率控制字 X为步进对相位增量进行累加 , 以累加相位值作为地址码读取存放在存储器内 的波形数据 , D/A转换和幅度控制 , 再滤波即可得所需波形 。DDS具有相对 带宽很 宽 、 频 率转换 时间 极短(可小于 20 ns)、 频率分辨率可以做得很高 (典型值为 0. 001 Hz)等优点 , 另 外 , 由 于全数字化 结构集成 , 频率 、 相位和幅度都可以程控调节 。2 以 FPGA

7、( EP2C8Q208)为核心设计 DDS数字函数信号发生器根据基于 DDS技术的数字函数信号发生器系统设计要求 , 整体设计框图如图 2所示 。图1 DDS原理框图Fig.1 The block diagram of DDSs principle图2 系统框图Fig.2 the block diagram of the system2. 1 系统构成系统由 七个模块构成 :键盘电路 。 本系统由 于按键较多 , 为节省 I/O口 和简化硬件电路 , 故采用 5 4的行列式键盘 (黄乡 生 , 2007)。 用线反转法编程行线和列线 , 控制位于行 、 列的交叉点上的按键 , 用 于设置频率控

8、制值和幅度大小 。 5 4的行列式键盘包括 ( 1)数字 0 9和小数点 、 星号 ; (2)波形选择 : 正 弦 、 三角 、 方波 ; (3)步进 +、 -; ( 4)功能键 : “频率 ” 、 “幅度 ”选择和 “确定 ” 。 软件设计包括键盘扫描 、 延迟消抖 、键值译码与键值存储 、功能译码等 。显示电路 。 为了 节约 FPGA的 I/O, 采用 通常使用 的动态显示方法 (黄乡生 , 2007)显示波形频率与峰值 。 AlteraInc. 2008. DataBook. Altera Corporation.FPGA( EP2C8Q208)模 块 。 采 用 Altera公 司E

9、P2C8Q208芯片做中央处理器并实现 DDS原理 。如前所述 , 直接数字合成 DDS的具体实现方法是 :首先把需要产生的任意波形进行离散化后 , 存入一定容量的存储器单元中 。 在控制电路的协调控制第 4期 黄乡生等 : 基于 DDS和 FPGA的数字函数信号发生器设计和实现 391下 , 按照信号波形数据点的输出 次序 , 以一定的速率 , 重复地将波形数据依次发送给 D/A转换器转换成相应的模拟信号 , 通过低通滤波 、驱动输出 , 得到需要的波形 , 其基本原理如图 1 所示 。 频率控制一方面控制时钟的分频信号 , 另一方面控制地址发生器的步长 。 波形控制主要是控制地址发生器的起

10、始地址 , 使地址发生器产生的地址与存储器中的波形数据相对应 , 这样可以在一个 RAM中存储多个不同 的波 形 。 波 形存 储 器采 用 高 速大 容 量的Flash存储器 , 把不同波形数据顺序的放入不同的存储空间 。 波形存储器也 可以采用 双 RAM, 根据需要随时更改波形 。 系统中存储器的最大速度将直接影响波形合成的最大频率 。AD7520波形输出 电路 。 将 FPGA的波形数据转化成模拟信号输出 。 D/A转换是把波形对应的数字量快速地转化为对应波形 的模拟信号 。 D/A的性能与合成的频率和精度有关 , 一般情况下 ,位数越多合成波形的精度越高 ; 速度越快 , 合成频率越

11、高 。 DAC0832幅度控制电路 。 利用 DAC0832 内部的电阻分 压网络 , 将其作 为数控电 位器使 用 。 将DA7520的输出 波形作为 DAC0832 的电压基准源输人 , 其输出 波形幅度为 V = ( N/256) Vin, 其中N为 FPGA输入的幅度控制字 。 通过一个简单的电阻分压网络调整运放输出 为 12 V峰 -峰值 , 由 FPGA将幅度控制字送入 DAC0832, 将输入的控制字转化成 模拟 量作 为输 出 信 号 即得 到波 形 。 由 于DAC0832是 8 位数模 转换器 , 即 n= 8, 分 辨率为12V/2n = 46. 875 mV, 即二进制

12、数最低位的变化可引 起输出的模拟电压变化为 46. 875 mV, 从而可实现峰 -峰值 0. 1 V步进调整 。滤波电路和功放电路 。 对输出波形进行滤波 ,并增加驱动负载的能力 。稳压电源电路 。 提供系统的电源 。2. 2 软件系统设计系 统 软 件 设 计 采 用 硬 件 描 述 语 言 VerilogHDL。 在 QuartusII集 成开 发平 台下 进行程 序设计 、调试和仿真 。 软件设计完成键盘监控及键值处理 、波形产生模块 、 D/A幅度控制和幅度显示 。 总体采用 自顶向下的设计方法 , 在每个模块中采用数据流设计方法 。主要介绍地址发生器模块 即波形产生模块的实现 。

13、地址发生器是将相位累加器和波形控制字即波形数据等集合在一起 , 可以用 大规模 FPGA实现 。 图 3显示的是用 VerilogHDL语言设计的程序框图 。图 3 地址发生器程序框图Fig.3 The block diagram of the address generatorFig. 3 The blockdiagram ofthe address generator将连续信号进行离散化变成数字信号 , 取一个周期函数中的等分点 m 20时 , 信号的拟合性比较理想 。 考虑到数字信号的二进制特性 , 可选择 m为32, 64, 128, 256等 2的幂函数 。 每个单元储存信息的位数

14、n决定了输出电压信号的分辨率 , 位数越多量化误差越小 。 由 于储存的函数编码输出 给 D/A转换器 , 存储的函数编码字长必须与 D/A转换器的位数相同 。 存储器 的数据直接 控制 AD7520 的数据口 D9 D0, 存储器地 址由 地址 计数器控 制 。系统运行时 , 地址计数器按一定周 期变化 , 控制存储器按序取出 相应的函数编码值以改变输出 电压幅度 。 由于正弦波 、 三角 波和方波都具有周 期性 , 故只需将一个周 期的波形离散化即可 。 每种波形一个周 期取 1 024个数据 , 共有三种波形 , 因此数据个数为 3072。 波形数据定制程序采用 MATLAB语言编写 。

15、 再利用 MegaWizardPlug-InManager定制波形数据 ROM。 根据波形控制字 , 将地址指 针和对应的波形数据地址一一对应 (表 1)。表 1 波形地址分配Tab. 1 The location ofwaves address波形控制值 波形 波形数据地址001 正弦波 0 1023010 三角波 1024 2047100 方波 2048 3095控制字计算模块的基本原理即 DDS频率的计算公式 f0 =( fc* N) /2k, 源代码略 。3 结语基于 DDS的数字函数信号发生器是在 Quartus集成开发平台下 , 采用 硬件描述语言 Verilog和数字集成芯片 F

16、PGA(EP2C8Q208)设计实现 , 输出信号频率和幅度可调 。 频率范围 0. 02 200 kHz,峰 -峰值 VPP = 12 V, 频率分辨率 f= 1 Hz, 峰 -峰值步进 VPP = 0. 1 V。采用 FPGA实现 DDS的方法与采用 专用 DDS芯片相比 , 具有可控性好 、 信号质量较高 、成本较低等突出 优势。参考文献1 梁向红.何宝祥.在数字电路逻辑设计教学中培养学生能力J.中国电力教育,2011,(10):23-242 郝小江,罗彪.基于 FPGA的函数信号发生器J.电测与仪表,2008,(5):49-51.3 林金阳.基于FPGA的智能函数发生器的设计J.西安文

17、理学院学报,2010,(3):43-474 潘松,黄继业EDA技术实用教程M.第三版.北京:科学出版社,2009.5 高吉祥.全国大学生电子设计竞赛培训教程M.北京:电子工业出版社,2009.6 童诗白,华成英.模拟电子技术基础(第四版)M.北京:高等教育出版社,2005.7 阎石.数字电子技术基础M.北京:高等教育出版社,2006.8 张迎新.单片机初级教程M.北京:北京航航空航天大学出版社,2006.9 黄振华.基于FPGA函数信号发生器的设计与实现D.南京:江苏大学控制理论与控制工程专业,2009.10 郭立浩.基于FPGA的直接数字频率合成器的研究与应用D.西安:西北工业大学电路与系统

18、专业,2006.11 潘志浪.基于FPGA的DDS信号源的设计D.武汉:武汉理工大学通信与信息系统专业,2007.12 张严.基于FPGA的任意波形发生器的研究与设计D.广州:华南师范大学电路与系统专业,2008.13唐亚平 . 2007. 基于 FPGA与 DSP的等 精度数字频 率计设计 J . 微计算机信息 (嵌入式与 SOC) , 23(1) : 249-250.14黄乡 生 . 2007. 基于 CPLD的 LED显示管理电 路设计 J . 东华理工学院学报 , 30( 1) :70-72. 15AlteraCorporationComponentSeleetorGuidexv+wa

19、lteraxomDataBook1999,Altera毕 业 设 计(论 文)开 题 报 告根据课题要求及文献综述,给出本课题要研究或解决的问题和拟采用的研究手段(途径):开 题 报 告1.课题题目 基于EDA技术的智能函数信号发生器的设计2.本课题的目的和意义 函数发生器在的现代电子技术中有着广泛的应用。本课题研究的技术对一般电路系统的设计具有重要意义。基于FPGA的函数信号发生器是实现正弦波、三角波、矩形波的生成、步进调制并且在液晶显示屏上实时显示频率值、波形类型、输出电压有效值的系统。通过本设计可以加强自己对VHDL语言、分频器、相位累加器、DA转换、低通滤波器等许多知识的认识和独立解决

20、问题的能力。设计的现实意义在于其具有频率转换快、分辨率高、频率合成范围宽、相位噪声低的优点,可以更广泛的应用于电子技术试验、医疗、自动控制系统以及其它许多领域。而且随着我国经济和科技的发展,对相应的测试仪器和测试手段提出了更高的要求,而波形发生器已成为测试仪器中至关重要的一类,因此开发波形发生器具有很大的意义。3.研究或解决的问题及工作内容 查阅搜集相关资料,调查目前FPGA信号发生器的主要性能、历史发展、社会需求以及在该领域国内外的研究现状等问题。在此基础之上对函数信号发生器系统进行建模,并构造信号发生器组成框图。下载开发工具,根据实际系统展开。从VHDL语言、分频器、相位累加器、DA转换、

21、低通滤波器和显示模块分别进行设计方案的分析和论证,并选择出最佳方案。本课题利用EDA技术,采用 Quartus II开发工具,基于VHDL语言,并在FPGA硬件上实现,首先对时钟信号进行分频,然后进行相位累加,对输出的波形进行存储,再通过DA转换,最后低通滤波输出高质量信号。通过对Quartus II开发工具下载、仿真进行研究,给出了用VHDL语言设计出调制部分的总模块。仿真通过后,形成顶层文件完成系统的整体设计,最后将软硬件相互结合,下载至EDA实验板上的FPGA芯片,进行硬件测试,实现信号的可靠输出,验证了设计的正确性。4.拟采用的研究方法 本设计使用开发工具Quartus II软件,对于每种波形的产生,都需要认真做好仿真。预期目标:1.学习应用Quartus II仿真软件,学习VHDL语言,为系统的设计奠定基础。2.对所设计的各个模块进行系统组装,对每一个模块进行系统调试,使系统能够稳定的工作。3.编写好的程序烧写入EDA实验板,打开电源运行程序,进行试验验证并记录数据3.编写好的程序烧写入EDA实验板,打开电源运行程序,进行试验验证并记录数据。指导教师意见:1对“文献综述”的评语:2对“开题报告”(课题的深度、广度及工作量)的评语和对设计(论文)结果的预测:成 绩指导教师年 月 日所在专业审查意见: 负责人: 年 月 日

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1