ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:676.20KB ,
资源ID:18768267      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/18768267.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(QuartusII使用教程完整实例2Word文件下载.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

QuartusII使用教程完整实例2Word文件下载.docx

1、第一步:打开软件 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。第二步:新建工程(filenew Project Wizard)1 工程名称:2添加已有文件(没有已有文件的直接跳过next)3 选择芯片型号(我们选择cylone II系列下的EP2C70F896C6芯片)(注:如果不下载到开发板上进行测试,这一步可以不用设置)4 选择仿真,综合工具(第一次实验全部利用quar

2、tus做,三项都选None,然后next)5 工程建立完成(点finish)第三步:添加文件(filenew VHDL file),新建完成之后要先保存。第四步:编写程序以实现一个与门和或门为例,Verilog描述源文件如下:module test(a,b,out1,out2);input a,b;output out1,out2;assign out1=a&b;assign out2=a | b;endmodule然后保存源文件;第五步:检查语法(点击工具栏的这个按钮(start Analysis & synthesis)点击确定完成语法检查第六步:(锁定引脚,点击工具栏的(pin plan

3、ner)如果不下载到开发板上进行测试,引脚可以不用分配)双击location 为您的输入输出配置引脚。第七步:整体编译(工具栏的按钮(start Complilation)第八步:testbench仿真(1)仿真环境配置:(2)点击菜单栏中processing,选择start,选择start testbench template write。此时会自动生成testbench模板到项目文件夹simulationmodelsim里面,后缀为.vt在quatusii界面打开simulationmodelsim文件夹下的.vt文件进行修改编辑,程序如下,修改完毕,保存:(3) 在项目管理窗器件上右击选择settings打开如下界面:点击 按照.vt内容(Test bench name 要和)填写上面内容, 选择modelsim文件夹下.vt文件依次点击确认,完成设置(4) 选择tools/options,在EDA Tool Options下确定ModelSim-Altera的中ModelSim.exe所在文件夹,通常在modelsim_asewin32aloem下(5)点击ToolsRUN EDA simulation ToolsRTL simulation即可。若无错误,系统可自动调用Modesim, 并弹出,选择否来看仿真结果,波形窗口将出现以下仿真波形。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1