ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:216.50KB ,
资源ID:17986298      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/17986298.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(利用按键开关控制点阵进行八进制数字显示Word文档下载推荐.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

利用按键开关控制点阵进行八进制数字显示Word文档下载推荐.docx

1、1分频模块(FENPIN):对时钟进行1000分频。图2 分频模块图及管脚library ieee;Use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin is port(clk:in std_logic; clkout:out std_logic);end fenpin;architecture r1 of fenpin issignal cnt:integer range 0 to 15;begin process(clk) begin if clkevent and clk=1 then if c

2、nt8 then clkout = 0; else end if; cnt = cnt +1; end process;end r1;2.消斗模块(XIAODOU):对按键信号进行消斗,使案件输出稳定,最终使点阵显示稳定。图3 消抖模块图及管脚library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity xiaodou is port( clk : in std_logic; rst : d_click : out std_logic; c

3、lick : in std_logic );end xiaodou;architecture r1 of xiaodou is signal count : integer range 0 to 10000;-std_logic_vector(9 downto 0); signal count1 : std_logic_vector(7 downto 0); signal dout1 : std_logic;beginprocess (clk,rst) if rst = dout1 count1 = 00000000 elsif clk event and clk = if (click =

4、) and (count1(7) = ) and (dout1 = ) then= count1+1; elsif (click = d_click = dout1; end process;3.计数模块(JISHU):进行八进制计数,输出控制显示模块的CH2.0 。图4 计数模块及管脚图entity jishu isport( clk : count : out std_logic_vector(2 downto 0);end jishu;architecture r1 of jishu issignal cn : std_logic_vector(2 downto 0); count =

5、cn;process(clk,rst)if rst = cn 000elsif clk if cn = 111= cn+1;end if;4.功能控制模块(CNTA):利用时钟进行十六进制计数,控制列信号从0000-1111变化。图5 功能控制模块及管脚图use ieee.std_logic_1164.all;entity cnta isport(clk: q:out std_logic_vector(3 downto 0);end cnta;architecture r1 of cnta isprocess(clk) variable tmp:std_logic_vector(3 downt

6、o 0);if clk if tmp=1111 tmp:=0000=tmp+1;q case sel is = doutnull; end case;001000000000000010000011111111111000000100000000100when 010 case sel is000000000001000000001110000111000001111100001100 when 0001111110001100000100011000110000010000110011000001000001001100000100000110110000010000001011000001

7、000000111100000111100001110000001110000011000000010000001100 when others = null;0110000000000100000000001000111100000001110011110000001111111011100000110111000010000010001100001000001000000000100000111000001110000001100000111000000110000011000100000000000010010000001100001001000000011000100100000000

8、10001001000000000100100000000000011010000000000000111000000000000001100000 end case;10100010000111100000001000111111000000100111101110000010011000001000001111110011100000111111011100000000000000110001100000000001110000000010001111100000011101111110000001100110001100000110010000010000010001000001000000111111111000000001111111100000000011111100000000000011000000000100000000000000011000000000000001110000000000000101100000000000010011000000000001000111000000000100001111110000010000011111000000110000000000 when othe

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1