ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:17.57KB ,
资源ID:16694127      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/16694127.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(海大EDA实验1参考答案Word文档下载推荐.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

海大EDA实验1参考答案Word文档下载推荐.docx

1、-a 2 to 1 multiplexer architecturearchitecture structural of mux21 is signal u, v : std_logic;begin u = in_x and (not in_s); v = in_y and in_s ; out_m SW(0), in_y=SW(8), in_s=SW(17), out_m=LEDR(0); U2:SW(1), in_y=SW(9), in_s=LEDR(1); U3:SW(2), in_y=SW(10), in_s=LEDR(2); U4:SW(3), in_y=SW(11), in_s=L

2、EDR(3); U5:SW(4), in_y=SW(12), in_s=LEDR(4); U6:SW(5), in_y=SW(13), in_s=LEDR(5); U7:SW(6), in_y=SW(14), in_s=LEDR(6); U8:SW(7), in_y=SW(15), in_s=LEDR(7); end Structural; part3 signal signal_u, signal_v : signal_u signal_v in5_v, in_s=in5_s0, out_m=signal_a);in5_w, in_y=in5_x, in_s=signal_b);signal

3、_a, in_y=signal_b, in_s=in5_s1, out_m=signal_c);signal_c, in_y=in5_y, in_s=in5_s2, out_m=out5_m);-a 3bit 5 to 1 multiplexer entityentity mux51_3bit is in std_logic_vector (17 downto 0); out std_logic_vector (17 downto 0); LEDG : out std_logic_vector (2 downto 0);end mux51_3bit;-a 3bit 5 to 1 multipl

4、exer architecturearchitecture structural of mux51_3bit is component mux51 port(in5_u, in5_v, in5_w, in5_x, in5_y, in5_s1, in5_s2, in5_s0 : out5_m : begin = sw; mux51 port map (in5_u=SW(0), in5_v=SW(3), in5_w=SW(6), in5_x=SW(9), in5_y=SW(12), in5_s0=SW(15), in5_s1=SW(16), in5_s2=SW(17), out5_m=LEDG(0

5、);SW(1), in5_v=SW(4), in5_w=SW(7), in5_x=SW(10), in5_y=SW(13), LEDG(1);SW(2), in5_v=SW(5), in5_w=SW(8), in5_x=SW(11), in5_y=SW(14), LEDG(2); end structural; part4-a 7-segment decoder entityentity decoder is port(decoder_in_3 : in std_logic_vector(2 downto 0); HEX0 : out std_logic_vector(0 to 6); end

6、 decoder;- a 7-segment decorder architecture architecture behavioral of decoder is process(decoder_in_3) begin case decoder_in_3 is when 000 = HEX0 Hex0 SW,Seg=HEX0); U1: mux51_seg7 port map(Mux51_seg7_in(17 downto 15)=SW(17 downto 15), Mux51_seg7_in(14 downto 12)=SW(11 downto 9), Mux51_seg7_in(11 d

7、ownto 9)=SW(8 downto 6), Mux51_seg7_in(8 downto 6)=SW(5 downto 3), Mux51_seg7_in(5 downto 3)=SW(2 downto 0), Mux51_seg7_in(2 downto 0)=SW(14 downto 12), Seg=HEX1); U2:SW(8 downto 6),SW(5 downto 3), Mux51_seg7_in(8 downto 6)=SW(2 downto 0),SW(14 downto 12), Mux51_seg7_in(2 downto 0)=HEX2); U3:SW(2 do

8、wnto 0), Mux51_seg7_in(8 downto 6)=SW(11 downto 9), Mux51_seg7_in(2 downto 0)=HEX3); U4:SW(14 downto 12), Mux51_seg7_in(8 downto 6)=SW(8 downto 6), Mux51_seg7_in(2 downto 0)=HEX4);end Behavior;-A circuit that can select and display one of five characters-entity mux51_seg7 is port(Mux51_seg7_in : Seg

9、 :end mux51_seg7;architecture Behavior of mux51_seg7 is component mux51_3bit port(S, U, V, W, X, Y : M : out std_logic_vector(2 downto 0); component char_7seg port(C : Display : signal M : std_logic_vector(2 downto 0); M0: mux51_3bit port map(Mux51_seg7_in(17 downto 15), Mux51_seg7_in(14 downto 12),Mux51_seg7_in(11 downto 9), Mux51_seg7_in(8 downto 6),Mux51_seg7_in(5 downto 3),Mux51_seg7_in(2 downto 0),M); H0: char_7seg port map(M, Seg);-a 3bit mux51-a 3bit 5 to 1 multiplexer- port(S, U, V, W, X, Y : in std_logic_vector (2 downto 0); M : out std_logic_vector (2 downto 0); mux51 port map (in5_

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1