ImageVerifierCode 换一换
格式:DOCX , 页数:93 ,大小:2.22MB ,
资源ID:15815328      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/15815328.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(可编程逻辑器件指导书Word文档格式.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

可编程逻辑器件指导书Word文档格式.docx

1、任务二 举重裁判表决电路50任务三 加法器52项目六 时序逻辑电路的设计 58任务一 触发器58任务二 单向移位寄存器63任务三 双向移位寄存器71项目七 计数器的设计 77任务一 50进制计数器77任务二 100进制计数器 81任务三 计时秒表 84项目八 多种频率输出控制器 89项目一 软件的安装和使用任务一 软件的安装一、QuartusII安装说明:1. 光盘路径:quartusiiquartusdisk1中用鼠标左键双击setup.exe文件。启动界面如图1.1所示:图1.1 QuartusII安装界面2. 点击Next后,弹出说明对话框,如图1.2所示:图1.2 说明对话框3. 继续

2、点击Next按钮后,弹出如图1.3所示的对话框,在上面空白处输入用户名,下面的输入公司的名字,这个可以任意填写。写好后Next按钮编程可选状态。(我的安装软件用户名默认为微软用户,公司的名字默认为微软中国)图1.3 用户信息输入对话框4. 按下Next按钮后,进入安装路径选择界面,如图1.4所示。(建议安装在默认的路径)图1.4 安装路径选择对话框选择好安装路径之后,点击Next按钮之后会看见相关的安装信息,一直点击Next按钮知道进入安装过程。安装之后会弹出一个结束对话框,点击finish按钮,完成安装。二、Quartus II软件的授权1. 授权过程是将光盘路径:quartusii7Alt

3、era-Quartus_V7_Win_Crack中的license.dat文件复制到安装目录下。2. 还有在这个路径下的sys_cpt.dll文件复制到安装目录下的bin的文件夹里。3. 在开始菜单栏,选择运行,输入cmd,按回车弹出如下图的对话框所示4. 输入ipconfig/all,弹出如下图的对话框所示5. 用红色圈起来的是你自己电脑的网卡号。6. 以记事本方式打开license,替换你的网卡号进去。7. 打开QuartusII,导入license.dat菜单下tools/license.setup。任务二 USB-Blaster快速安装向导1.通过USB电缆,将USB-Blaster与

4、电脑相连。在设备管理器中找到USB-Blaster后,单击右键选择革新驱动软件。2.进入界面后,选择浏览计算机以查找驱动程序软件(R)。3.进入浏览计算机以查找驱动程序软件界面后,在文本输入方框旁的单击浏览进入选择更新驱动软件的界面。4.在该界面中选择你软件的安装目录下,比如这是在D盘的,D盘alteraquartusdriversusb-blasterx32(电脑系统是32位的Win7)点击确定。5.回到这个界面后,点击下一步。6.系统会识别出该驱动,跳出该界面,询问是否访问,选择始终安装此驱动程序软件。7.安装成功。8.在usb-blaster连着电脑的情况下,打开quartusII,从菜

5、单打开ToolProgrammer,从HardwareSetup设置使用usb-blaster编程器。在单击Close就可以使用了。任务三 软件的使用 主界面由3个子窗口组成,分别是设计输入编辑窗口(完成设计描述源文件的编辑)、消息窗口(编译/仿真过程的消息说明)和工程浏览窗口(快速浏览工程的各描述文件)。图1.5 Quartus II的系统主界面1. 设计输入Quartus II支持多种设计输入方法,即允许用户使用多种方法描述设计,常用的设计输入方式有:原理图输入,文本输入和第三方EDA工具输入 。(1) 创建新工程 Quartus II有工程的概念,所谓工程就是当前设计的描述、设置、数据以

6、及输出的集合,Quartus II会将这些存储在不同类型的文件中并置于同一个文件夹下。所以在设计之前,必须创建工程,具体步骤如下:i. 打开Quartus II软件,在主界面中执行FileNew Project Wizard命令,在弹出的对话框中指定设计工程的文件存放目录、工程名以及最顶层的设计实体名。 最上面的输入框:在此输入框输入指定工程文件存放的目录。 中间的输入框:在此对话框中输入新建工程的名字。 最下面的输入框:在此输入框中输入该设计工程最顶层的设计实体名。说明:一般输入工程名和设计顶层的实体名默认是相同。ii. 单击【Next】按钮,弹出如图1.7所示的对话框。许多设计工程除了最顶

7、层的设计文件之外,还会包含一些额外的电路模块描述文件或者定制的功能库。设计者可以通过如图1.7所示的对话框将这些文件或者功能库添加到设计工程中。为了方便工程设计文件公里,建议将所有的设计文件集中到工程目录中。iii. 单击【Next】按钮,弹出如图1.8所示的对话框。系统会要求设计者指定工程所使用的芯片系列,可以选择自动选择芯片型号或者设计者指定.建议选择“Specific device selected in Available deviceslist”选项,这样可以手动设置芯片参数,这个一般是硬件设计好之后,若对参数不熟悉一定要先参考Quartus II的帮助文件,弄清封装,管脚类型和芯片

8、速度这3个设置项中的每个参数的具体含义。如果选项自动选择芯片,对于绝大多数的应用只采用的默认设置即可,系统会根据实际的情况自动进行优化。iv. 点击【Next】按钮进入EDA工具设置页面,如图1.9所示,用以第三方的综合器、仿真器和时序分析工具。默认值为不使用第三方EDA工具,在本工程保持默认不变,直接点击【Next】按钮继续v. 创建新工程向导的最后一步,Quartus II会给出新建工程的摘要信息,点击【Finish】按钮即可完成向导。在完成新建工程后,所有工程设置可以通过AssignmentsSettings菜单命令或者Ctrl+Shift+E快捷启动设置对话框进行修改。图1.6 设置工

9、程名称及顶层实体名称图1.7添加设计文件图1.8 选择目标芯片图1.9 设置第三方EDA工具图1.10 新建工程摘要2. 文本输入法 执行菜单FileNew菜单命令打开新建对话框,如图1.11所示.选中Device Design Files选项卡中的VHDL File后点击【OK】按钮新建一个空白的VHDL文档。Quartus II会自动将起命名为Vhdl1.vhd,这时执行FileSave命令或者使用Ctrl+S快捷键将其保存,保存对话框如图1.12所示 Quartus II会自动保存位置定位到工程目录并且默认命名为.vh。这里只有一个实体,故counter就是顶层。保持默认值不变,直接点击

10、【保存】按钮保存文件。 新建的VHDL文档中输入,分频器的VHDL菜单如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity shansuo isport( clk :in std_logic; clk_out:out std_logic; r:out std_logic_vector(3 downto 0) );end shansuo;architecture behieve of shansuo issignal clk_1

11、k:std_logic;beginr=0111;process(clk)variable cnt1:integer range 0 to 2000;variable cnt2:integer range 0 to 1250; if clkevent and clk=1then if cnt1=2000 then cnt1:=0; if cnt2=1250 then cnt2: clk_1k=not clk_1k; else=cnt2+1; end if;=cnt1+1;end process;clk_out=clk_1k;end behieve;如果对VHDL语言语法结构不太了解的时候,可以通

12、过Qusrtus II提供的自动完成格式工具的插入模版。用户可以在Quartus II界面的Text Editor工具栏中找到对应的按钮,如图1.13所示。完成VHDL语言输入之后,执行ProcessAnalysis Current File菜单命令,启动Quartus II的语法检查功能,对当前文件进行分析。如果在Message窗口中出现Error,在修改之后再次执行分析,直到没有错误提示为止。3. 分配引脚 分配引脚的目的是为了设计指定输入输出引脚在目标芯片上的位置。分配引脚的方法有许多种,这里介绍的Assignment Editor工具是一种比较常用的引脚分配方法。 有些书籍和资料将分配

13、引脚放在了编译之后进行,主要考虑到引脚需要占用片内的IO资源,引脚分配的不同会影响Quartus II的布局布线结果,也就会影响到设计的时序仿真。而且Quartus II支持预先的I/O分配和确定操作(无论顶层的模块是否完成),这样可以在整个设计流程中尽早开始印制电路板(PCB)的布线设计工作。同样,设计人员可以在任何时间对引脚的分配进行修改和确认,无需再进行一次编译。 在进行完设计分析以及语法检查后,可以执行AssignmentAssignment Editor,点击窗口上面的Pin按钮进行引脚分配,左侧的工具栏中的Show All Known Pin Name按钮可以列出所有已知的引脚名称,所得界面如图1.14所示。图1.14 Assignment Editor对话框4. 编译 在标准PLD设计流程中,编译(Compilation)包

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1