可编程逻辑器件指导书Word文档格式.docx

上传人:b****5 文档编号:15815328 上传时间:2022-11-16 格式:DOCX 页数:93 大小:2.22MB
下载 相关 举报
可编程逻辑器件指导书Word文档格式.docx_第1页
第1页 / 共93页
可编程逻辑器件指导书Word文档格式.docx_第2页
第2页 / 共93页
可编程逻辑器件指导书Word文档格式.docx_第3页
第3页 / 共93页
可编程逻辑器件指导书Word文档格式.docx_第4页
第4页 / 共93页
可编程逻辑器件指导书Word文档格式.docx_第5页
第5页 / 共93页
点击查看更多>>
下载资源
资源描述

可编程逻辑器件指导书Word文档格式.docx

《可编程逻辑器件指导书Word文档格式.docx》由会员分享,可在线阅读,更多相关《可编程逻辑器件指导书Word文档格式.docx(93页珍藏版)》请在冰豆网上搜索。

可编程逻辑器件指导书Word文档格式.docx

任务二举重裁判表决电路………………………………………50

任务三加法器……………………………………………………52

项目六时序逻辑电路的设计………………………………………58

任务一触发器……………………………………………………58

任务二单向移位寄存器…………………………………………63

任务三双向移位寄存器…………………………………………71

项目七计数器的设计………………………………………………77

任务一50进制计数器……………………………………………77

任务二100进制计数器…………………………………………81

任务三计时秒表…………………………………………………84

项目八多种频率输出控制器………………………………………89

 

项目一软件的安装和使用

任务一软件的安装

一、QuartusII安装说明:

1.光盘路径:

quartusii\quartus\disk1中用鼠标左键双击setup.exe文件。

启动界面如图1.1所示:

图1.1QuartusII安装界面

2.点击Next后,弹出说明对话框,如图1.2所示:

图1.2说明对话框

3.继续点击Next按钮后,弹出如图1.3所示的对话框,在上面空白处输入用户名,下面的输入公司的名字,这个可以任意填写。

写好后Next按钮编程可选状态。

(我的安装软件用户名默认为微软用户,公司的名字默认为微软中国)

图1.3用户信息输入对话框

4.按下Next按钮后,进入安装路径选择界面,如图1.4所示。

(建议安装在默认的路径)

图1.4安装路径选择对话框

选择好安装路径之后,点击Next按钮之后会看见相关的安装信息,一直点击Next按钮知道进入安装过程。

安装之后会弹出一个结束对话框,点击finish按钮,完成安装。

二、QuartusII软件的授权

1.授权过程是将光盘路径:

quartusii7\Altera-Quartus_V7_Win_Crack中的license.dat文件复制到安装目录下。

2.还有在这个路径下的sys_cpt.dll文件复制到安装目录下的bin的文件夹里。

3.在开始菜单栏,选择运行,输入cmd,按回车弹出如下图的对话框所示

4.输入ipconfig/all,弹出如下图的对话框所示

5.用红色圈起来的是你自己电脑的网卡号。

6.以记事本方式打开license,替换你的网卡号进去。

7.打开QuartusII,导入license.dat菜单下tools/license.setup。

任务二USB-Blaster快速安装向导

1.通过USB电缆,将USB-Blaster与电脑相连。

在设备管理器中找到USB-Blaster后,单击右键选择革新驱动软件。

2.进入界面后,选择浏览计算机以查找驱动程序软件(R)。

3.进入浏览计算机以查找驱动程序软件界面后,在文本输入方框旁的单击浏览进入选择更新驱动软件的界面。

4.在该界面中选择你软件的安装目录下,比如这是在D盘的,D盘—altera—quartus—drivers—usb-blaster—x32(电脑系统是32位的Win7)—点击确定。

5.回到这个界面后,点击下一步。

6.系统会识别出该驱动,跳出该界面,询问是否访问,选择始终安装此驱动程序软件。

7.安装成功。

8.在usb-blaster连着电脑的情况下,打开quartusII,从菜单打开Tool>

Programmer,从HardwareSetup设置使用usb-blaster编程器。

在单击Close就可以使用了。

任务三软件的使用

主界面由3个子窗口组成,分别是设计输入编辑窗口(完成设计描述源文件的编辑)、消息窗口(编译/仿真过程的消息说明)和工程浏览窗口(快速浏览工程的各描述文件)。

图1.5QuartusII的系统主界面

1.设计输入

QuartusII支持多种设计输入方法,即允许用户使用多种方法描述设计,常用的设计输入方式有:

原理图输入,文本输入和第三方EDA工具输入。

(1)创建新工程

QuartusII有工程的概念,所谓工程就是当前设计的描述、设置、数据以及输出的集合,QuartusII会将这些存储在不同类型的文件中并置于同一个文件夹下。

所以在设计之前,必须创建工程,具体步骤如下:

i.打开QuartusII软件,在主界面中执行File→NewProjectWizard…命令,在弹出的对话框中指定设计工程的文件存放目录、工程名以及最顶层的设计实体名。

●最上面的输入框:

在此输入框输入指定工程文件存放的目录。

●中间的输入框:

在此对话框中输入新建工程的名字。

●最下面的输入框:

在此输入框中输入该设计工程最顶层的设计实体名。

说明:

一般输入工程名和设计顶层的实体名默认是相同。

ii.单击【Next】按钮,弹出如图1.7所示的对话框。

许多设计工程除了最顶层的设计文件之外,还会包含一些额外的电路模块描述文件或者定制的功能库。

设计者可以通过如图1.7所示的对话框将这些文件或者功能库添加到设计工程中。

为了方便工程设计文件公里,建议将所有的设计文件集中到工程目录中。

iii.单击【Next】按钮,弹出如图1.8所示的对话框。

系统会要求设计者指定工程所使用的芯片系列,可以选择自动选择芯片型号或者设计者指定.建议选择“Specificdeviceselectedin‘Availabledevices’list’”选项,这样可以手动设置芯片参数,这个一般是硬件设计好之后,若对参数不熟悉一定要先参考QuartusII的帮助文件,弄清封装,管脚类型和芯片速度这3个设置项中的每个参数的具体含义。

如果选项自动选择芯片,对于绝大多数的应用只采用的默认设置即可,系统会根据实际的情况自动进行优化。

iv.点击【Next】按钮进入EDA工具设置页面,如图1.9所示,用以第三方的综合器、仿真器和时序分析工具。

默认值为不使用第三方EDA工具,在本工程保持默认不变,直接点击【Next】按钮继续

v.创建新工程向导的最后一步,QuartusII会给出新建工程的摘要信息,点击【Finish】按钮即可完成向导。

在完成新建工程后,所有工程设置可以通过Assignments→Settings…菜单命令或者Ctrl+Shift+E快捷启动设置对话框进行修改。

图1.6设置工程名称及顶层实体名称

图1.7添加设计文件

图1.8选择目标芯片

图1.9设置第三方EDA工具

图1.10新建工程摘要

2.文本输入法

执行菜单File→New…菜单命令打开新建对话框,如图1.11所示.选中DeviceDesignFiles选项卡中的VHDLFile后点击【OK】按钮新建一个空白的VHDL文档。

QuartusII会自动将起命名为Vhdl1.vhd,这时执行File→Save命令或者使用Ctrl+S快捷键将其保存,保存对话框如图1.12所示

QuartusII会自动保存位置定位到工程目录并且默认命名为<

顶层实体名>

.vh。

这里只有一个实体,故counter就是顶层。

保持默认值不变,直接点击【保存】按钮保存文件。

新建的VHDL文档中输入,分频器的VHDL菜单如下:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_arith.all;

useieee.std_logic_unsigned.all;

entityshansuois

port(

clk:

instd_logic;

clk_out:

outstd_logic;

r:

outstd_logic_vector(3downto0)

);

endshansuo;

architecturebehieveofshansuois

signalclk_1k:

std_logic;

begin

r<

="

0111"

;

process(clk)

variablecnt1:

integerrange0to2000;

variablecnt2:

integerrange0to1250;

ifclk'

eventandclk='

1'

then

ifcnt1=2000then

cnt1:

=0;

ifcnt2=1250then

cnt2:

clk_1k<

=notclk_1k;

else

=cnt2+1;

endif;

=cnt1+1;

endprocess;

clk_out<

=clk_1k;

endbehieve;

如果对VHDL语言语法结构不太了解的时候,可以通过QusrtusII提供的自动完成格式工具的插入模版。

用户可以在QuartusII界面的TextEditor工具栏中找到对应的按钮,如图1.13所示。

完成VHDL语言输入之后,执行Process→AnalysisCurrentFile菜单命令,启动QuartusII的语法检查功能,对当前文件进行分析。

如果在Message窗口中出现Error,在修改之后再次执行分析,直到没有错误提示为止。

3.分配引脚

分配引脚的目的是为了设计指定输入输出引脚在目标芯片上的位置。

分配引脚的方法有许多种,这里介绍的AssignmentEditor工具是一种比较常用的引脚分配方法。

有些书籍和资料将分配引脚放在了编译之后进行,主要考虑到引脚需要占用片内的IO资源,引脚分配的不同会影响QuartusII的布局布线结果,也就会影响到设计的时序仿真。

而且QuartusII支持预先的I/O分配和确定操作(无论顶层的模块是否完成),这样可以在整个设计流程中尽早开始印制电路板(PCB)的布线设计工作。

同样,设计人员可以在任何时间对引脚的分配进行修改和确认,无需再进行一次编译。

在进行完设计分析以及语法检查后,可以执行Assignment→AssignmentEditor,点击窗口上面的Pin按钮进行引脚分配,左侧的工具栏中的ShowAllKnownPinName按钮可以列出所有已知的引脚名称,所得界面如图1.14所示。

图1.14AssignmentEditor对话框

4.编译

在标准PLD设计流程中,编译(Compilation)包

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工程科技 > 兵器核科学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1