ImageVerifierCode 换一换
格式:DOCX , 页数:32 ,大小:964.13KB ,
资源ID:11744850      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/11744850.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(SystemVerilog断言学习笔记.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

SystemVerilog断言学习笔记.docx

1、SystemVerilog断言学习笔记SystemVerilog断言学习笔记1一、前言 随着数字电路规模越来越大、设计越来越复杂,使得对设计的功能验证越来越重要。首先,我们要明白为什么要对设计进行验证?验证有什么作用?例如,在用FPGA进行设计时,我们并不能确保设计出来的东西没有功能上的漏洞,因此在设计后我们都会对其进行验证仿真。换句话说,验证的目的是彻底地验证被测设计以确保设计没有功能上的缺陷。而即将介绍的SystemVerilog断言便是一门重要的验证技术,它可以尽早发现设计的缺陷以及提高验证的效率。二、基本概念1、什么是断言 断言是设计属性的描述。而断言可以从设计的功能描述中推知,然后转

2、换成断言。那么断言是如何表现的呢?当一个被检查的属性不像我们期望的那样表现时,则该断言失败;当一个禁止在设计中出现的属性发生时,则该断言失败。2、为什么要使用SystemVerilog断言Verilog HDL也能实现断言,但其存在不足之处:Verilog HDL是一种过程语言,不能很好地控制时序;Verilog HDL是一种冗长的语言,随着断言数量的增加,维护代码将变得很困难;语言的过程性使得测试同一时间段内发生的并行事件相当困难;Verilog HDL没有提供内嵌的机制来提供功能覆盖的数据。而SystemVerilog断言具有如下特征:它是一种描述性语言,可以完美描述时序的状况;语言本身非

3、常精确且易于维护;语言的描述性提供了对时间卓越的控制;它提供了若干个内嵌函数来测试特定的设计情况,并且提供了一些构造来自动收集功能覆盖数据。可见,使用SystemVerilog断言具有非常大的优势。三、验证平台 一个包含SystemVerilog断言的验证环境如下图所示:注:约束随机测试平台可以用来产生更多真实的验证情景;代码覆盖则是验证完整性的基本衡量标准。 一般情况下,测试平台需要做三件事:产生激励;自检机制;衡量功能覆盖。1.产生激励通俗来讲就是为被测设计提供输入信号。2.自检机制则是使每个测试都能自动和动态地检验期望的结果。自检过程主要着眼于协议检验和数据检验。协议检验的目的是检验控制

4、信号的正确性;数据检验则是检验正在处理的数据的完整性。3.功能覆盖用于衡量验证完整性,它包含协议覆盖和测试计划覆盖两项衡量标准。协议覆盖是用来衡量一个设计的功能说明书中确定的所有功能是否都测试过;测试计划则是衡量测试平台的穷尽性。 而SystemVerilog断言主要着重处理协议检验和协议覆盖两大类问题。【SystemVerilog断言学习笔记2】断言的类型SystemVerilog中包含并发断言和即时断言两种类型的断言。所谓并发断言就是在时钟边沿对变量进行采样并完成测试表达式的计算,它可以在模块、接口、过程块或程序中定义。这里有一点是需要声明的,对于变量的采样值是时钟边沿前一时刻相应变量的值

5、。而即时断言只能在过程块中定义的,测试表达式的计算跟Verilog HDL过程块中的行为一样,即一旦事件发生变化则表达式立刻被求值。接下来通过modelsim对这两类的断言进行仿真测试,给大家一个直观的理解。1、并发断言打开modelsim仿真软件,然后点击“FileNewProject“,出现如下对话框,为对话框填上工程名以及路径,其他默认,点击”OK“后会弹出询问是否创建工程路径的对话框,选择”是“。在下面的对话框中点击“Create New File”以新建源文件。为对话框填上新建文件名以及文件类型选为“SystemVerilog”,然后点击“OK”,“Close”。为新建的sv文件编写

6、SystemVerilog代码,如下所示:/*作者 : CrazyBird文件 : assert_test.sv日期 : 2015-5-1功能 : 并行断言*/timescale 1ns/1psmodule assert_test( output reg clk, output reg a, output reg b ); / 时钟的产生 parameter PERIOD = 10; initial begin clk = 0; forever #(PERIOD/2) clk = clk; end / 激励的产生 initial begin a = 0; b = 1; repeat(20)(n

7、egedge clk) begin a = $random()%2; b = $random()%2; end (negedge clk); $stop; end / 并行断言 a_cc: assert property(posedge clk) not(a&b);endmodule该段代码断言信号a和信号b不能同时为1,否则断言失败。选择要编译的文件assert_test.sv,接着点击“CompileCompile Selected”,如果编译正确,transcript状态栏会提示编译成功,并且文件状态会由蓝色“问号”变为绿色“打钩”,如下图所示。编译成功后,接下来开始仿真。点击“Simu

8、lateStart Simulation”,在弹出的对话框中,展开work,选择assert_test,然后“OK”。将Object下的信号clk、a、b添加到波形中去,做法是选中信号clk、a、b,然后右键单击“Add toWaveSelected signals”,如下图所示:点击“SimulateRestart”,“OK”,接着点击“SimulateRunRun All”,再接着点击“WaveZoomZoom Full”,其波形如下所示:在transcript状态栏下会出现断言失败的信息,通过双击它,可在Wave中显示断言失败的地方,如下图所示:# * Error: Assertion

9、error.# Time: 25 ns Started: 25 ns Scope: assert_test.a_cc File: D:/electron/modelsim/assert_test/assert_test.sv Line: 38# * Error: Assertion error.# Time: 35 ns Started: 35 ns Scope: assert_test.a_cc File: D:/electron/modelsim/assert_test/assert_test.sv Line: 38# * Error: Assertion error.# Time: 55

10、 ns Started: 55 ns Scope: assert_test.a_cc File: D:/electron/modelsim/assert_test/assert_test.sv Line: 38# * Error: Assertion error.# Time: 105 ns Started: 105 ns Scope: assert_test.a_cc File: D:/electron/modelsim/assert_test/assert_test.sv Line: 38# * Error: Assertion error.# Time: 155 ns Started:

11、155 ns Scope: assert_test.a_cc File: D:/electron/modelsim/assert_test/assert_test.sv Line: 38# * Error: Assertion error.# Time: 205 ns Started: 205 ns Scope: assert_test.a_cc File: D:/electron/modelsim/assert_test/assert_test.sv Line: 38也可以通过点击“ViewCoverageassertions”查看断言的情况,如下所示: 很明显,断言失败有6处地方。2、即时

12、断言操作基本跟上面的一样,即时断言的一个例子如下所示:/*作者 : CrazyBird文件 : assert_test.sv日期 : 2015-5-1功能 : 即时断言*/timescale 1ns/1psmodule assert_test( output reg clk, output reg a, output reg b ); / 时钟的产生 parameter PERIOD = 10; initial begin clk = 0; forever #(PERIOD/2) clk = clk; end / 激励的产生 initial begin a = 0; b = 1; repeat

13、(20)(negedge clk) begin a = $random()%2; b = $random()%2; end (negedge clk); $stop; end / 即时断言 always_comb begin a_ia: assert (a&b); endendmodule该段代码断言信号a和信号b同时为1,否则断言失败。其断言情况如下所示:# * Error: Assertion error.# Time: 0 ps Scope: assert_test.a_ia File: D:/electron/modelsim/assert_test/assert_test.sv Li

14、ne: 41# * Error: Assertion error.# Time: 40 ns Scope: assert_test.a_ia File: D:/electron/modelsim/assert_test/assert_test.sv Line: 41# * Error: Assertion error.# Time: 60 ns Scope: assert_test.a_ia File: D:/electron/modelsim/assert_test/assert_test.sv Line: 41# * Error: Assertion error.# Time: 70 ns

15、 Scope: assert_test.a_ia File: D:/electron/modelsim/assert_test/assert_test.sv Line: 41# * Error: Assertion error.# Time: 110 ns Scope: assert_test.a_ia File: D:/electron/modelsim/assert_test/assert_test.sv Line: 41# * Error: Assertion error.# Time: 120 ns Scope: assert_test.a_ia File: D:/electron/m

16、odelsim/assert_test/assert_test.sv Line: 41# * Error: Assertion error.# Time: 130 ns Scope: assert_test.a_ia File: D:/electron/modelsim/assert_test/assert_test.sv Line: 41# * Error: Assertion error.# Time: 160 ns Scope: assert_test.a_ia File: D:/electron/modelsim/assert_test/assert_test.sv Line: 41#

17、 * Error: Assertion error.# Time: 170 ns Scope: assert_test.a_ia File: D:/electron/modelsim/assert_test/assert_test.sv Line: 41# * Error: Assertion error.# Time: 180 ns Scope: assert_test.a_ia File: D:/electron/modelsim/assert_test/assert_test.sv Line: 41 很明显,断言失败有10处地方。【SystemVerilog断言学习笔记3】SVA块的建立

18、 不管学什么东西,如果掌握了技巧、规律,我们将很容易上手。同样,如果我们掌握了建立SystemVerilog断言(简称SVA)块的步骤,在后面SVA的深入学习中将起到事半功倍的效果。SVA块的建立步骤如下。步骤一、建立布尔表达式步骤二、建立序列表达式关键词序列”sequence”可以用来表示逻辑事件,包括同一个时钟边沿被求值的布尔表达式或者经过几个时钟周期的求值事件。序列基本语法:sequence name_of_sequence; ;endsequence步骤三、建立属性关键词属性”property”可以用来表示复杂序列的行为。属性基本语法:property name_of_property

19、; ; or ;endproperty步骤四、断言属性关键词断言”assert”可以用来检查属性。断言基本语法:assertion_name: assert property(property_name);【SystemVerilog断言学习笔记4】边沿检测内嵌函数SVA中内嵌了信号边沿检测函数,方便用户监视信号从一个时钟周期到另一个时钟周期的跳变。其中,有三个非常有用的内嵌函数如下:(1)$rose(boolean expression or signal_name):当表达式/信号的最低位由0变为1时返回真;(2)$fell(boolean expression or signal_nam

20、e):当表达式/信号的最低位由1变为0时返回真;(3)$stable(boolean expression or signal_name):当表达式/信号不发生变化时返回真。 针对上述的描述,可以得出两个结论:(1)这三个内嵌函数是工作在时钟边沿上的;(2)这三个内嵌函数只检测信号的最低位,而忽略其他位。 接下来,带着这两个结论以及运用上一篇博客对SVA块的建立步骤对三个内嵌函数进行验证。1、$rose()函数的验证 为了验证内嵌函数$rose()是工作在时钟边沿上的,这里给出一个简单的反例即不受时钟控制:/*作者 : CrazyBird文件 : rose_test.sv日期 : 2015-5

21、-6功能 : $rose()函数的验证*/timescale 1ns/1psmodule rose_test( output reg clk, output reg 1:0 a ); / 时钟的产生 parameter PERIOD = 10; initial begin clk = 0; forever #(PERIOD/2) clk = clk; end / 激励的产生 initial begin a = 0; repeat(20)(negedge clk) begin a = $random()%2*2; end (negedge clk); $stop; end / 断言 always

22、_comb begin a_ia : assert($rose(a); end endmodule 对该程序进行编译将出现以下错误: 从错误中可以看出,$rose()函数是时钟敏感的。改正后的代码如下所示:/*作者 : CrazyBird文件 : rose_test.sv日期 : 2015-5-6功能 : $rose()函数的验证*/timescale 1ns/1psmodule rose_test( output reg clk, output reg 1:0 a ); / 时钟的产生 parameter PERIOD = 10; initial begin clk = 0; forever

23、 #(PERIOD/2) clk = clk; end / 激励的产生 initial begin a = 0; repeat(20)(negedge clk) begin a = $random()%2*2; end (negedge clk); $stop; end / 序列的建立 sequence s1; (posedge clk) $rose(a); / 受时钟边沿控制,正确 /$rose(a); / 不受时钟控制,错误 endsequence / 属性的建立 property p1; s1; endproperty / 断言属性 a_cc: assert property(p1);

24、endmodule 对改正后的代码进行仿真,可得到如下的时序图: 其中,红色光标所在处表示断言成功,而红色下三角表示断言失败。可以很容易分析到,断言成功的地方肯定是当前时刻信号的最低位是高电平,上一时刻信号的最低位是低电平。断言失败的地方信号的最低位要么当前时刻是低电平,上一时刻是高电平,要么当前时刻和上一时刻的电平没有发生变化,不管其他位是如何变化的。从而验证了内嵌函数$rose()只检测信号的最低位,而忽略其他位。 对于内嵌函数$fell()和$stable()的验证与$rose()类似,同样可以验证“内嵌函数是工作在时钟边沿上的”和“内嵌函数只检测信号的最低位,而忽略其他位”这两个结论的

25、正确性。下面只给出他们的仿真结果。2、$fell()的验证3、$stable()的验证先介绍到这吧,待续【SystemVerilog断言学习笔记5】“#”的解读与运用有时候,我们需要检查几个时钟周期才能完成的事务。在SVA中,可以用“#”表示时钟周期延迟,如“ a #2 b”即当a为高电平时,2个时钟周期之后b应为高电平。下面举个简单的例子来说明:测试代码:/*作者 : CrazyBird文件 : assert_test2.sv日期 : 2015-5-7功能 : 时钟周期延时“#”的测试*/timescale 1ns/1psmodule assert_test2( output reg clk

26、, output reg a, output reg b ); / 产生时钟 parameter PERIOD = 10; initial begin clk = 0; forever #(PERIOD/2) clk = clk; end / 产生激励 initial begin a = 1; b = 1; repeat(20)(negedge clk) begin a = $random()%2; b = $random()%2; end (negedge clk); $stop; end /建立序列 sequence s1; a #2 b; endsequence / 建立属性 prope

27、rty p1; (posedge clk) s1; endproperty / 断言属性 a1 : assert property(p1);endmodule 按照代码的描述,其任务是检查信号a在给定的时钟上升沿是否为高电平。若a为低电平,断言直接失败;若a为高电平,则延迟2个时钟周期后检查b是否为高电平。若b为高电平,表示断言成功;若b为低电平,表示断言失败。 其仿真结果如下所示:# * Error: Assertion error.# Time: 15 ns Started: 15 ns Scope: assert_test2.a1 File: D:/electron/modelsim/assert_test2/assert_test2.sv Line: 49# * Error: Assertion error.# Time: 45 ns Started: 25 ns Scope: assert_test2.a1 File: D:/electron/modelsim/assert_test2/assert_test2.sv Line: 49# * Error: Assertion error.# Time: 65 ns Started: 65 ns Scope: assert_test2.a1 File: D:/electron/modelsim/assert_test

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1