eda技术及应用课后习题答案.docx

上传人:b****7 文档编号:9729552 上传时间:2023-02-06 格式:DOCX 页数:19 大小:25.72KB
下载 相关 举报
eda技术及应用课后习题答案.docx_第1页
第1页 / 共19页
eda技术及应用课后习题答案.docx_第2页
第2页 / 共19页
eda技术及应用课后习题答案.docx_第3页
第3页 / 共19页
eda技术及应用课后习题答案.docx_第4页
第4页 / 共19页
eda技术及应用课后习题答案.docx_第5页
第5页 / 共19页
点击查看更多>>
下载资源
资源描述

eda技术及应用课后习题答案.docx

《eda技术及应用课后习题答案.docx》由会员分享,可在线阅读,更多相关《eda技术及应用课后习题答案.docx(19页珍藏版)》请在冰豆网上搜索。

eda技术及应用课后习题答案.docx

eda技术及应用课后习题答案

eda技术及应用课后习题答案

【篇一:

eda技术实用教程(第四版)》习题答案】

ss=txt>1习题

1-1eda技术与asic设计和fpga开发有什么关系?

fpga在asic设计中有什么用途?

p3~4

1-2与软件描述语言相比,vhdl有什么特点?

p6

l-3什么是综合?

有哪些类型?

综合在电子设计自动化中的地位是什么?

p5

1-4在eda技术中,自顶向下的设计方法的重要意义是什么?

p7~10

1-5ip在eda技术的应用和发展中的意义是什么?

p22~14

1-6叙述eda的fpga/cpld设计流程,以及涉及的eda工具及其在整个流程中的作用。

(p11~13)

2习题

2-1olmc(输出逻辑宏单元)有何功能?

说明gal是怎样实现可编程组合电路与时序电路的。

p34~36

2-2什么是基于乘积项的可编程逻辑结构?

p33~34,40什么是基于查找表的可编程逻辑结构?

p40~41

2-3fpga系列器件中的lab有何作用?

p43~45

2-5解释编程与配置这两个概念。

p58

2-6请参阅相关资料,并回答问题:

按本章给出的归类方式,将基于乘积项的可编程逻辑结构的pld器件归类为cpld;将基于查找表的可编程逻辑结构的pld器什归类为fpga,那么,apex系列属于什么类型pld器件?

maxii系列又属于什么类型的pld器件?

为什么?

p54~56

3习题

3-1画出与以下实体描述对应的原理图符号元件:

entitybuf3sis--实体1:

三态缓冲器

port(input:

instd_logic;--输入端

enable:

instd_logic;--使能端

output:

outstd_logic);--输出端

endbuf3s;

entitymux21is--实体2:

2选1多路选择器

port(in0,in1,sel:

instd_logic;

output:

outstd_logic);

3-2图3-16所示的是4选1多路选择器,试分别用if_then语句和case语句的表达方式写出此电路的vhdl程序,选择控制信号s1和s0的数据类型为std_logic_vector;当s1=’0’,s0=’0’;s1=’0’,s0=’1’;s1=’1’,s0=’0’和s1=’1’,s0=’1’时,分别执行y=a、y=b、y=c、y=d。

图3-164选1多路选择器

--解1:

用if_then语句实现4选1多路选择器

libraryieee;

useieee.std_logic_1164.all;

entitymux41is

port(a,b,c,d:

instd_logic;

s0:

instd_logic;

s1:

instd_logic;

y:

outstd_logic);

endentitymux41;

architectureif_mux41ofmux41is

signals0s1:

std_logic_vector(1downto0);--定义标准逻辑位矢量数据begin

s0s1=s1s0;--s1相并s0,即s1与s0并置操作

process(s0s1,a,b,c,d)

begin

ifs0s1=00theny=a;

elsifs0s1=01theny=b;

elsifs0s1=10theny=c;

elsey=d;

endif;

endprocess;

endarchitectureif_mux41;

--解2:

用case语句实现4选1多路选择器

libraryieee;

useieee.std_logic_1164.all;

entitymux41is

port(a,b,c,d:

instd_logic;

s0:

instd_logic;

s1:

instd_logic;

y:

outstd_logic);

endentitymux41;

architecturecase_mux41ofmux41is

signals0s1:

std_logic_vector(1downto0);--定义标准逻辑位矢量数据类型begin

s0s1=s1s0;--s1相并s0,即s1与s0并置操作

process(s0s1,a,b,c,d)

begin

cases0s1is--类似于真值表的case语句

when00=y=a;

when01=y=b;

when10=y=c;

when11=y=d;

whenothers=null;

endcase;

endprocess;

endarchitecturecase_mux41;

3-3图3-17所示的是双2选1多路选择器构成的电路muxk,对于其中mux21a,当s=’0’和s=’1’时,分别有y=‘a’和y=’b’。

试在一个结构体中用两个进程来表达此电路,每个进程中用case语句描述一个2选1多路选择器mux21a。

图3-17含2选1多路选择器的模块

--解:

用case语句实现图4-18所示的是双2选1多路选择器构成的电路

libraryieee;

useieee.std_logic_1164.all;

entitymux31is

port(a1,a2,a3,s0,s1:

instd_logic;

outy:

outstd_logic);

endentitymux31;

architecturecase_mux31ofmux31is

signaly:

std_logic;

begin

u1:

process(s0,a1,a2,a3)

begin

cases0is--类似于真值表的case语句

when0=y=a2;

when1=y=a3;

whenothers=null;

endcase;

endprocess;

u2:

process(s1,a1,a2,a3,y)

begin

cases1is--类似于真值表的case语句

when0=outy=a1;

when1=outy=y;

whenothers=null;

endcase;

endprocess;

endarchitecturecase_mux31;

3-4将例3-20程序的计数器改为十二进制计数器,程序用例3-21的方式表述,并且将复位rst改为同步清零控制,加载信号load改为异步控制方式。

讨论例3-20与例3-21的异同点。

--解:

十二进制计数器vhdl程序设计。

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitycnt12is

port(c

lk,rst,en,load:

instd_logic;

data:

instd_logic_vector(3downto0);--4位预置数

dout:

outstd_logic_vector(3downto0);--计数值输出

cout:

outstd_logic);--计数进位输出

endcnt12;

architecturebehavofcnt12is

signalq:

std_logic_vector(3downto0);

begin

reg:

process(clk,rst,en,load,q)

begin

ifload=0thenq=data;--允许加载

elsifclkeventandclk=1then--检测时钟上升沿

ifrst=0thenq=(others=0);--计数器异步复位

else

ifen=1then--检测是否允许计数或加载(同步使能)ifload=0thenq=data;--允许加载

else

ifq12thenq=q+1;--允许计数,检测是否小于9

elseq=(others=0);--大于等于9时,计数值清零

endif;

endif;

endif;

endif;

endif;

endprocess;

com:

process(q)

begin

ifq=12thencout=1;--计数大于9,输出进位信号

elsecout=0;

endif;

dout=q;--将计数值向端口输出

endprocess;

endbehav;

3-5设计含有异步清零和计数使能的16位二进制加减可控计数器。

--解:

用vhdl实现含有异步清零和计数使能的16位二进制加减可控计数器。

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityadd_sub_16is

port(clk,rst,add_en,sub_en:

instd_logic;

cq:

outstd_logic_vector(15downto0);

cout:

outstd_logic);

endentityadd_sub_16;

architecturea_s_16ofadd_sub_16is

begin

process(clk,rst,add_en,sub_en)

variablecqi:

std_logic_vector(15downto0);

begin

ifrst=1thencqi:

=(others=0);--计数器异步复位

elsifclkeventandclk=1then--检测时钟上升沿

ifadd_en=1then--检测是否允许计数(同步他能)

ifcqi16#ffff#thencqi:

=cqi+1;--允许计数,检测是否小于65535elsecqi:

=(others=0);--大于65535,计数值清零

endif;

ifcqi=16#ffff#thencout=1;--计数大于9,输出进位信号elsecout=0;

endif;

endif;

ifsub_en=1then--检测是否允许计数(同步他能)

ifcqi0thencqi:

=cqi-1;--允许计数,检测是否小于65535elsecqi:

=(others=1);--大于65535,计数值清零

endif;

【篇二:

eda课后答案】

/p>

(1)eda

(2)vhdl

(3)fpga

(4)asic

(5)cpld

填空

303页例9-4

1-1eda技术与asic设计和fpga开发有什么关系?

答:

利用eda技术进行电子系统设计的最后目标是完成专用集成电路asic的设计和实现;fpga和cpld是实现这一途径的主流器件。

fpga和cpld通常也被称为可编程专用ic,或可编程asic。

fpga和cpld的应用是eda技术有机融合软硬件电子设计技术、soc(片上系统)和asic设计,以及对自动设计与自动实现最典型的诠释。

1-2与软件描述语言相比,vhdl有什么特点?

p6

答:

编译器将软件程序翻译成基于某种特定cpu的机器代码,这种代码仅限于这种cpu而不能移植,并且机器代码不代表硬件结构,更不能改变cpu的硬件结构,只能被动地为其特定的硬件电路结构所利用。

综合器将vhdl程序转化的目标是底层的电路结构网表文件,这种满足vhdl设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。

综合器在将vhdl(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。

l-3什么是综合?

有哪些类型?

综合在电子设计自动化中的地位是什么?

什么是综合?

答:

在电子设计领域中综合的概念可以表示为:

将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型?

答:

(1)从自然语言转换到vhdl语言算法表示,即自然语言综合。

(2)从算法表示转换到寄存器传输级(registertransportlevel,rtl),即从行为域到结构域的综合,即行为综合。

(3)从rtl级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。

(4)从逻辑门表示转换到版图表示(asic设计),或转换到fpga的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中的地位是什么?

答:

是核心地位(见图1-3)。

综合器具有更复杂的工作环境,综合器在接受vhdl程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将vhdl程序转化成电路实现的相关信息。

1-4在eda技术中,自顶向下的设计方法的重要意义是什么?

p7~10

答:

在eda技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。

1-5ip在eda技术的应用和发展中的意义是什么?

p11~12

答:

ip核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

第二章

2-1叙述eda的fpga/cpld设计流程。

p13~16

答:

1.设计输入(原理图/hdl文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。

2-2ip是什么?

ip与eda技术的关系是什么?

p24~26ip是什么?

答:

ip是知识产权核或知识产权模块,用于asic或fpga/cpld中的预先设计好的电路功能模块。

i

p与eda技术的关系是什么?

答:

ip在eda技术开发中具有十分重要的地位;与eda技术的关系分有软ip、固ip、硬ip:

软ip是用vhdl等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软ip通常是以硬件描述语言hdl源文件的形式出现。

固ip是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。

硬ip提供设计的最终阶段产品:

掩模。

2-3叙述asic的设计方法。

p18~19

答:

asic设计方法,按版图结构及制造方法分有半定制(semi-custom)和全定制(full-custom)两种实现方法。

全定制方法是一种基于晶体管级的,手工设计版图的制造方法。

半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。

半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。

2-4fpga/cpld在asic设计中有什么用途?

p16,18

答:

fpga/cpld在asic设计中,属于可编程asic的逻辑器件;使设计效率大为提高,上市的时间大为缩短。

2-5简述在基于fpga/cpld的eda设计流程中所涉及的eda工具,及其在整个流程中

的作用。

p19~23

答:

基于fpga/cpld的eda设计流程中所涉及的eda工具有:

设计输入编辑器(作用:

接受不同的设计输入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及hdl的文本输入方式。

);hdl综合器(作用:

hdl综合器根据工艺库和约束条件信息,将设计输入编辑器提供的信息转化为目标器件硬件结构细节的信息,并在数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:

行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用:

完成目标系统在器件上的布局和布线);下载器(作用:

把设计结果信息下载到对应的实际器件,实现硬件设计)。

第三章

3-1olmc(输出逻辑宏单元)有何功能?

说明gal是怎样实现可编程组合电路与时序电路的。

p34~36

olmc有何功能?

答:

olmc单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。

说明gal是怎样实现可编程组合电路与时序电路的?

答:

gal(通用阵列逻辑器件)是通过对其中的olmc(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。

3-2什么是基于乘积项的可编程逻辑结构?

p33~34,40

答:

gal、cpld之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的pal(可编程阵列逻辑)器件构成。

3-3什么是基于查找表的可编程逻辑结构?

p40~41

答:

fpga(现场可编程门阵列)是基于查找表的可编程逻辑结构。

3-4fpga系列器件中的lab有何作用?

答:

fpga(cyclone/cycloneii)系列器件主要由逻辑阵列块lab、嵌入式存储器块(eab)、i/o单元、嵌入式硬件乘法器和pll等模块构成;其中lab(逻辑阵列块)由一系列相邻的le(逻辑单元)构成的;fpga可编程资源主要来自逻辑阵列块lab。

3-5与传统的测试技术相比,边界扫描技术有何优点?

p47~50

答:

使用bst(边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数据。

克服传统的外探针测试法和“针床”夹具测试法来无法对ic内部节点无法测试的难题。

3-6解释编程与配置这两个概念。

p58

答:

编程:

基于电可擦除存储单元的eeprom或flash技术。

cpld一股使用此技术进行编程。

cpld被编程后改变了电可擦除存储单元中的信息,掉电后可保存。

电可擦除编程工艺的优点是编程后信息不会因掉电而丢失,但编程次数有限,编程的速度不快。

配置:

基于sram查找表的编程单元。

编程信息是保存在sram中的,sram在掉电后编程信息立即丢失,在下次上电后,还需要重新载入编程信息。

大部分fpga采用该种编程工艺。

该类器件的编程一般称为配置。

对于sram型fpga来说,配置次数无限,且速度快;在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编程。

3-7请参阅相关资料,并回答问题:

按本章给出的归类方式,将基于乘积项的可编程逻辑结构的pld器件归类为cpld;将基于查找表的可编程逻辑结构的pld器什归类为fpga,那么,

apex系列属于什么类型pld器件?

maxii系列又属于什么类型的pld器件?

为什么?

p54~56

答:

apex(advancedlogicelementmatrix)系列属于fpga类型pld器件;编程信息存于sram中。

maxii系列属于cpld类型的pld器件;编程信息存于eeprom中。

第四章

4-1:

画出与下例实体描述对应的原理图符号元件:

entitybuf3sis

--实体1:

三态缓冲器

--输入端

--使能端

--输出端

port(input:

instd_logic

enable:

instd_logic

output:

outstd_logic)

endbuf3x

entitymux21is

--实体2:

2选1多路选择器

port(in0,in1,sel:

instd_logic;

output:

outstd_logic);

4-1.答案

4-2.图3-30所示的是4选1多路选择器,试分别用if_then语句和case语句的表达方式写出此电路的vhdl程序。

选择控制的信号s1和s0的数据类型

为std_logic_vector;当s1=0,s0=0;s1=0,s0=1;s1=1,s0=0和s1=1,s0=1分别执行y=a、y=b、y=c、y=d。

4-2.答案

libraryieee;

useieee.std_logic_1164.all;

entitymux41is

port(s:

instd_logic_vector(1downto0);--输入选择信号

a,b,c,d:

instd_logic;--输入信号

y:

outstd_logic);--输出端

endentity;

architectureartofmux41is

begin

process(s)

begin

if(s=00)theny=a;

elsif(s=01)theny=b;

elsif(s=10)theny=c;

elsif(s=11)theny=d;

elsey=null;endif;

ednprocess;endart;

libraryieee;

useieee.std_logic_1164.all;

entitymux41is

port(s:

instd_logic_vector(1downto0);--输入选择信号

a,b,c,d:

instd_logic;--输入信号

y:

outstd_logic);--输出端

endmux41;

architectureartofmux41is

begin

process(s)

begin

casesis

when“00”=y=a;

when“01”=y=b;

when“10”=y=c;

when“11”=y=d;

whenothers=null;

endcase;endprocess;

endart;

4-3.图3-31所示的是双2选1多路选择器构成的电路muxk,对于其中mux21a,当s=0和1时,分别有y=a和y=b。

试在一个结构体中用两个进程来表达此电路,每个进程中用case语句描述一个2选1多路选择器mux21a。

4-3.答案

libraryieee;

useieee.std_logic_1164.all;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 总结汇报 > 学习总结

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1