分别使用原理图和VHDL语言输入方法设计8位全加器分解.docx

上传人:b****7 文档编号:9563531 上传时间:2023-02-05 格式:DOCX 页数:16 大小:79.64KB
下载 相关 举报
分别使用原理图和VHDL语言输入方法设计8位全加器分解.docx_第1页
第1页 / 共16页
分别使用原理图和VHDL语言输入方法设计8位全加器分解.docx_第2页
第2页 / 共16页
分别使用原理图和VHDL语言输入方法设计8位全加器分解.docx_第3页
第3页 / 共16页
分别使用原理图和VHDL语言输入方法设计8位全加器分解.docx_第4页
第4页 / 共16页
分别使用原理图和VHDL语言输入方法设计8位全加器分解.docx_第5页
第5页 / 共16页
点击查看更多>>
下载资源
资源描述

分别使用原理图和VHDL语言输入方法设计8位全加器分解.docx

《分别使用原理图和VHDL语言输入方法设计8位全加器分解.docx》由会员分享,可在线阅读,更多相关《分别使用原理图和VHDL语言输入方法设计8位全加器分解.docx(16页珍藏版)》请在冰豆网上搜索。

分别使用原理图和VHDL语言输入方法设计8位全加器分解.docx

分别使用原理图和VHDL语言输入方法设计8位全加器分解

 

大庆石油学院

课程设计

课程硬件课程设计

题目分别使用原理图和VHDL语言输入方法设计8位全加器

院系计算机与信息技术学院

专业班级计算机科学与技术04-4班

学生姓名孟庆军

学生学号040702140408

指导教师李井辉

 

 

2006年9月12日

大庆石油学院课程设计任务书

课程硬件课程设计

题目分别使用原理图和VHDL语言输入方法设计8位全加器

专业计算机科学与技术姓名孟庆军学号040702140408

主要内容、基本要求、主要参考资料等

一、主要内容:

利用EDA-V型实验系统、微机和Maxplus-II软件系统,分别使用原理图和VHDL语言输入方法设计8位全加器。

要求利用层次设计方法,首先设计1位半加器,仿真和测试成功后把它保存到元件库中去;之后以1位半加器为底层元件设计1位全加器,仿真和测试成功后把它也保存到元件库中去;最后以1位全加器为基本元件,设计8位全加器的顶层文件,进行仿真和测试。

二、基本要求:

1、熟练掌握EDA软硬件系统的使用方法。

2、设计出8位全加器,精通原理图输入方法,初步学会使用VHDL语言输入方法。

3、学会功能仿真和时序仿真。

4、按照规范写出论文,要求字数在4000字以上,并进行答辩。

论文内容包括概述(学习、调研、分析、设计的内容摘要)、EDA技术的现状和发展趋势、对EDA_V型实验系统和MaxplusII软件的掌握程度、8位全加器设计过程(包括原理图或程序设计、编译、仿真分析、硬件测试的全过程),论文中含有原理图、程序、仿真波形图及其分析报告。

三、主要参考资料:

[1]潘松.EDA技术实用教程[M].北京:

科学出版社,2003.11-13.

[2]杨恒.FPGA/CPLD最新实用技术指南[M].北京:

清华大学出版社,2005.20-22.

[3]EDA先锋工作室.AlteraFPGA/CPLD设计(基础篇)[M].北京:

人民邮电出版社2005.32-33.

[4]求是科技.CPLD/FPGA应用开发技术与工程实践[M].北京:

人民邮电出版社2005.55-58.

[5]潘松.SOPC技术实用教程[M].清华大学出版社.2005.1-15.

完成期限第28周

指导教师

专业负责人年月日

大庆石油学院课程设计成绩评价表

课程名称

硬件课程设计

题目名称

分别使用与原理图和VHDL语言输入方法设计8位全加器

学生姓名

孟庆军

学号

040702140408

指导教师姓名

李井辉

职称

讲师

序号

评价项目

指标

满分

评分

1

工作量、工作态度和出勤率

按期圆满的完成了规定的任务,难易程度和工作量符合教学要求,工作努力,遵守纪律,出勤率高,工作作风严谨,善于与他人合作。

20

2

课程设计质量

课程设计选题合理,计算过程简练准确,分析问题思路清晰,结构严谨,文理通顺,撰写规范,图表完备正确。

45

3

创新

工作中有创新意识,对前人工作有一些改进或有一定应用价值。

5

4

答辩

能正确回答指导教师所提出的问题。

30

总分

评语:

 

指导教师:

年月日

 

摘要

本文介绍了利用EDA-V硬件系统和微机上的MaxPlus-II等软件系统,分别使用原理图和VHDL语言输入方法设计8位全加器。

利用层次设计方法,设计底层文件一个一位半加器;设计顶层文件一个一位全加器;设计顶层文件8位全加器。

VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,诞生于1982年。

1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。

自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。

此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。

现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。

有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

EDA技术的发展史、简单说明MaxPlus-II的使用过程,阐述了8位全加器的设计与实现的相关过程,包括设计的基本原理,实现的相关细节,分析系统的重点与难点等相关技术问题,完成8位全加器的全部设计,并且进行测试及分析结果。

关键词:

EDA(电子设计自动化);VHDL(硬件描述语言)

 

目 录

第1章概述6

1.1EDA的概念6

1.2EDA的工作平台7

第2章原理图法八位全加器的设计8

2.1加法器的系统分析8

2.2八位全加器的设计过程8

2.3设计过程8

第3章VHDL语言法设计八位全加器11

3.1用VHDL语言设计半加器11

3.2用VHDL语言设计一位全加器12

3.3用VHDL语言设计八位全加器12

结论14

参考文献15

 

第1章概述

1.1EDA的概念

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:

设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。

现在对EDA的概念或范畴用得很宽。

包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。

目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。

例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。

EDA设计可分为系统级、电路级和物理实现级。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。

现在对EDA的概念或范畴用得很广。

包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用[1]。

目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。

例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

本次毕业设计课题实现的核心技术即为EDA相关技术.

1.1.1EDA技术及应用

电子设计技术的核心就是EDA技术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。

EDA技术已有30年的发展历程,大致可分为三个阶段。

70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。

80年代为计算机辅助工程(CAE)阶段。

与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。

CAE的主要功能是:

原理图输人,逻辑仿真,电路分析,自动布局布线,PCB后分析。

90年代为电子系统设计自动化(EDA)阶段。

EDA技术发展迅猛,逐渐在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。

在教学方面:

几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。

主要是让学生了解EDA的基本原理和基本概念、鳞握用佃L描述系统逻辑的方法、使用扔A工具进行电子电路课程的模拟仿真实验并在作毕业设计时从事简单电子系统的设计,为今后工作打下基础。

具有代表性的是全国每两年举办一次大学生电子设计竞赛活动。

在科研方面:

主要利用电路仿真工具(EwB或PSPICE、VLOL等)进行电路设计与仿真;利用虚拟仪器进行产品调试;将O)LI)/FPGA器件的开发应用到仪器设备中。

例如在CDMA无线通信系统中,所有移动手机和无线基站都工作在相同的频谱,为区别不同的呼叫,每个手机有一个唯一的码序列,CDMA基站必须能判别这些不同观点的码序列才能分辨出不同的传呼进程;这一判别是通过匹配滤波器的输出显示在输人数据流中探调到特定的码序列;FPGA能提供良好的滤波器设计,而且能完成DSP高级数据处理功能,因而FPGA在现代通信领域方面获得广泛应用。

在产品设计与制造方面:

从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在P哪的制作、电子设备的研制与生产、电路板的焊接、朋比的制作过程等有重要作用。

可以说电子EDA技术已经成为电子工业领域不可缺少的技术支持。

EDA技术在进入21世纪后,由于更大规模的FPGA和凹m器件的不断推出,在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断更新、增加,使电子EDA技术得到了更大的发展。

电子技术全方位纳入EDA领域,EDA使得电子领域各学科的界限更加模糊,更加互为包容,突出表现在以下几个方面:

使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能;基于EDA工具的ASIC设计标准单元已涵盖大规模电子系统及IP核模块;软硬件IP核在电子行业的产业领域、技术领域和设计应用领域得到进一步确认;SoC高效低成本设计技术的成熟。

随着半导体技术、集成技术和计算机技术的迅猛发展,电子系统的设计方法和设计手段都发生了很大的变化。

可以说电子EDA技术是电子设计领域的一场革命。

传统的“固定功能集成块十连线”的设计方法正逐步地退出历史舞台,而基于芯片的设计方法正成为现代电子系统设计的主流。

作为高等院校有关专业的学生和广大的电子工程师了解和掌握这一先进技术是势在必行,这不仅是提高设计效率的需要,更是时代发展的需求,只有攀握了EDA技术才有能力参与世界电子工业市场的竞争,才能生存与发展。

随着科技的进步,电子产品的更新日新月异,EDA技术作为电子产品开发研制的源动力,已成为现代电子设计的核心。

所以发展EDA技术将是电子设计领域和电子产业界的一场重大的技术革命,同时也对电类课程的教学和科研提出了更深更高的要求。

特别是EDA技术在我国尚未普及,掌握和普及这一全新的技术,将对我国电子技术的发展具有深远的意义。

1.2EDA的工作平台

1.2.1EDA硬件工作平台

1.计算机

2.EDA实验开发系统:

EDA-V

1.2.2EDA的软件工作平台

PLD(ProgrammableLogicDevice)是一种由用户根据需要而自行构造逻辑功能的数字集成电路。

目前主要有两大类型:

CPLD(ComplexPLD)和FPGA(FieldProgrammableGateArray)。

它们的基本设计方法是借助于EDA软件,用原理图、状态机、布尔表达式、硬件描述语言等方法,生成相应的目标文件,最后用编程器或下载电缆,由目标器件实现。

生产PLD的厂家很多,但最有代表性的PLD厂家为Altera、Xilinx和Lattice公司。

我们采用了MAXPLUSⅡ软件作为开发工具。

 

第2章原理图法八位全加器的设计

2.1加法器详细分析

原理:

   加法器是数字系统中的基本逻辑器件。

多位加法器的构成有两种方式:

并行进位和串行进位方式。

并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。

通常,并行加法器比串行级联加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。

2.1.1八位全加器说明

实现进位功能,可以接受从低位进来的数据。

2.2八位全加器的设计过程

2.2.1设计规划

利用EDA-Ⅴ型实验箱,硬件描述语言(VHDL),及MAX-PLUSⅡ软件设计简单的8位全加器,实现功能说明中的要求。

2.2.2设计说明

本次章节我们以原理图法来进行设计,通过半加器和一位全加器设计设计成为八位全加器。

2.3设计过程

2.3.1半加器设计

1.原理图设计过程:

工作原理是:

S=AB+AB;Ci+1=AB

不接受低位进来的数据

3.效验原理图:

原理图编译完后进行仿真实验。

4.将设计保存,并将文件设为模块(本实验内名为bjq)

2.3.2一位的全加器设计

1.原理图设计:

工作原理:

Fi=Ai⊕Bi⊕Ci,Ci+1=AiBi+BiCi+CiAi。

电路图如下:

 

3.进行波形仿真实验后得到如下波形图:

 

在此图中我们可以看出此设计准确无误,我们将整个设计电路输入到实验箱中的处理器内进行实际测验。

在实验箱上进行测试,能实现预期的功能,没有问题存在。

进行多次仔细的观察没有问题。

4把整个设计项目进行保存,将文件设为模块(本课程中为qjq)

2.3.3八位全加器设计

1.原理图设计过程

2.测验原理图的正确性:

仔细的看过图,没有问题。

原理图编译完后,将设计电路输入到实验箱中的处理器中进行仿真实验。

得到如下波形图:

在此图中可以看出设计准确无误,我们把设计好的电路输入到实验箱进行测验。

对波形图进行多次仔细的观察,没有发现问题,八位全加器没有问题。

4.为了确保本次课程设计的正确性,再次进行了多次测试。

 

第3章VHDL语言法设计八位全加器

 

3.1半加器(VHDL语言编译)

其代码如下:

(VHDL语言):

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYh_adderIS

PORT(a,b:

INSTD_LOGIC;

co,so:

OUTSTD_LOGIC);

ENDENTITYh_adder;

ARCHITECTUREfh1OFh_adderis

BEGIN

so<=not(aXOR(NOTb));

co<=aANDb;

ENDARCHITECTUREfh1;

通过对代码的编译和波形检测显示出此设计也是完全符合要求的,并且和设计的电路图一样,也达到相同的效果。

3.2全加器(VHDL语言编译)

其代码(VHDL语言)如下:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYf_adderIS

PORT(ain,bin,cin:

INSTD_LOGIC;

cout,sum:

OUTSTD_LOGIC);

ENDENTITYf_adder;

ARCHITECTUREfd1OFf_adderIS

COMPONENTh_adder

PORT(a,b:

INSTD_LOGIC;

co,so:

OUTSTD_LOGIC);

ENDCOMPONENT;

COMPONENTor2a

PORT(a,b:

INSTD_LOGIC;

c:

OUTSTD_LOGIC);

ENDCOMPONENT;

SIGNALd,e,f:

STD_LOGIC;

BEGIN

u1:

h_adderPORTMAP(a=>ain,b=>bin,co=>d,so=>e);

u2:

h_adderPORTMAP(a=>e,b=>cin,co=>f,so=>sum);

us:

or2aPORTMAP(a=>d,b=>f,c=>cout);

ENDARCHITECTUREfd1;

3.3八位全加器(VHDL语言)

代码如下:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYb_adderIS

PORT(a1,a2,a3,a4,a5,a6,a7,a8:

INSTD_LOGIC;

b1,b2,b3,b4,b5,b6,b7,b8:

INSTD_LOGIC;

h1,h2,h3,h4,h5,h6,h7,h8,jw:

OUTSTD_LOGIC);

ENDENTITYb_adder;

ARCHITECTUREfd1OFb_adderIS

COMPONENTh_adder

PORT(a,b:

INSTD_LOGIC;

co,so:

OUTSTD_LOGIC);

ENDCOMPONENT;

COMPONENTf_adder

PORT(ain,bin,cin:

INSTD_LOGIC;

cout,sum:

OUTSTD_LOGIC);

ENDCOMPONENT;

SIGNALd1,d2,d3,d4,d5,d6,d7,d8:

STD_LOGIC;

BEGIN

u1:

h_adderPORTMAP(a=>a1,b=>b1,co=>d1,so=>h1);

u2:

f_adderPORT-MAP(ain=>a2,bin=>b2,cin=>d1,sum=>h2,cout=>d2);

u3:

f_adderPORT-MAP(ain=>a3,bin=>b3,cin=>d2,sum=>h3,cout=>d3);

u4:

f_adderPORT-MAP(ain=>a4,bin=>b4,cin=>d3,sum=>h4,cout=>d4);

u5:

f_adderPORT-MAP(ain=>a5,bin=>b5,cin=>d4,sum=>h5,cout=>d5);

u6:

f_adderPORT-MAP(ain=>a6,bin=>b6,cin=>d5,sum=>h6,cout=>d6);

u7:

f_adderPORT-MAP(ain=>a7,bin=>b7,cin=>d6,sum=>h7,cout=>d7);

u8:

f_adderPORT-MAP(ain=>a8,bin=>b8,cin=>d7,sum=>h8,cout=>jw);

ENDARCHITECTUREfd1;

 

结论

熟练掌握EDA软硬件系统的使用方法。

设计8位全加器,熟练原理图输入方法,学会使用VHDL语言输入方法。

熟悉了Maxplus-II的使用

对EDA技术有了初步的了解和认识,用MAX+加器,一位全加器,四位全加器,八位全加器。

可以采用VHDL硬件描述语言编程,编PLUSⅡ软件自己可以设计半出半加器,全加器,四位全加器,八位全加器。

但在学习过程中也遇到了很多的困难,在老师和同学的帮助下一一克服,我对EDA技术的了解好不是很多,自己还有很多的不足,在今后的学习过程中还需要付出更多的努力来弥补在这方面的不足。

EDA技术有着非常好的发展前景,是进几年电子工业的发展趋向,中国的EDA行业发展十分迅速,有着非常好的发展前景。

HP的工作站解决方案一直致力于推动EDA的发展,为用户提供出色性能。

 

参考文献

1石俊斌,林辉.在PLD开发中提高VHDL的综合质量,单片机与嵌入式系统应用,2003年,第4期

2孙富明,李笑盈.基于多种EDA工具的FPGA设计,电子技术应用,2002年1月,第1期

3林敏,方颖立.VHDL数字系统设计与高层综合.北京,电子工业出版社,2002年1月,12~61

4AlteraCorporation,“QuartusIIHelpVersion2.0”.

5ModelTechnologyIncorporated,“ModelSimSEUser'sManualVersion5.6”

6SynopsysIncorporated,“FPGACompilerII/FPGAExpressVHDLReferenceManualVersion1999,05”

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 文学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1