基于vhdl语言的秒表综合设计大学论文.docx

上传人:b****8 文档编号:9448973 上传时间:2023-02-04 格式:DOCX 页数:35 大小:234.59KB
下载 相关 举报
基于vhdl语言的秒表综合设计大学论文.docx_第1页
第1页 / 共35页
基于vhdl语言的秒表综合设计大学论文.docx_第2页
第2页 / 共35页
基于vhdl语言的秒表综合设计大学论文.docx_第3页
第3页 / 共35页
基于vhdl语言的秒表综合设计大学论文.docx_第4页
第4页 / 共35页
基于vhdl语言的秒表综合设计大学论文.docx_第5页
第5页 / 共35页
点击查看更多>>
下载资源
资源描述

基于vhdl语言的秒表综合设计大学论文.docx

《基于vhdl语言的秒表综合设计大学论文.docx》由会员分享,可在线阅读,更多相关《基于vhdl语言的秒表综合设计大学论文.docx(35页珍藏版)》请在冰豆网上搜索。

基于vhdl语言的秒表综合设计大学论文.docx

基于vhdl语言的秒表综合设计大学论文

设计报告

 

现代电子技术综合实验

课程名称_______

数字式秒表设计与实现

设计题目_______

指导老师_______

 

学生_______

学号___

 

目录

1引言……..........................................................................................

1.1课程设计的内容及要求..................................................................

2VHDL简介……..............................................................................

2.1硬件描述语言——VHDL……......................................................

2.1.1VHDL语言的特点…….............................................................

2.1.2VHDL语言的设计流程…….....................................................

3秒表设计过程……............................................................................

3.1原理框图……..................................................................................

3.2设计思路模块化…..........................................................................

3.3模块的功能简述……......................................................................

3.3.1分频器….....................................................................................

3.3.2计数器…….................................................................................

3.3.3数据锁存器……..........................................................................

3.3.4显示译码模块…..........................................................................

3.3.5控制模块……..............................................................................

3.3.6按键消抖模块……......................................................................

3.3.7顶层文件……..............................................................................

4模块电路仿真……................................................................................

4.1分频器

4.2计数器

4.2.1模6计数器

4.2.2模10计数器

5实验总结…….....................................................................................

5.1实验结论……...................................................................................

5.2心得总结……...................................................................................

6参考文献……..........................................................................................

7附录(各模块源程序)…...........................................................................

 

1.引言:

在当今信息化社会中,集成电路和计算机应用得到

高速发展,。

它在人们日常生活已必不可少,大多数电子产品多是由计算机电路组成。

本文目的就是基于计算机电路利用VHDL语言设计出数字秒表。

秒表在很多领域充当了重要的角色,在不同的场合和条件下对于秒表的精度和功能是不同的,有些科学实验的要求甚至能达到纳秒级别。

1.1课题内容要求:

本课题目标是掌握VHDL开发FPGA的一般流程,重点是电子秒表的设计。

该设计以VHDL作为硬件开发语言,以ISE作为软件开发平台,准确地实现了秒表计数、清零、暂停等功能,并使用ModelSim仿真软件对VHDL程序实现了电路仿真,完成了综合布局布线,最终将程序下载到芯片Spartan-3A,并通过板子上相应模块验证实验的正确性。

除此以外,利用课余时间学习数字频率计的硬件实现方法,即用MultiSim仿真等。

秒表设计的具体要求:

计时范围是00’00’’00到59’59’’99。

有两个按钮开关start/stop和split/reset,控制秒表的启动、停止、分段和复位:

秒表已经被复位的情况下,按下state/stop键,秒表开始计时。

在秒表正常运行的情况下,如果按下state/reset则秒表暂停计时;再次按下该键,秒表继续计时。

在秒表正常运行下,如果按下split/reset,显示停止在按键时的时间,但秒表仍然在计时;再次按下该键,秒表恢复正常显示。

在秒表暂停计时的情况下,按下split/reset,秒表复位归零。

2.VHDL简介:

2.1VHDL特点:

(1)作为HDL的第一个国际标准,VHDL具有很强的可移植性。

 

(2)具有丰富的模拟仿真语句和库函数,随时可对设计进行仿真模拟,因而能将设计中的错误消除在电路系统装配之前,在设计早期就能检查设计系统功能的可行性,有很强的预测能力。

 

(3)VHDL有良好的可读性,接近高级语言,容易理解。

 

(4)系统设计与硬件结构无关,方便了工艺的转换,也不会因工艺变化而使描述过时。

 

(5)支持模块化设计,可将大规模设计项目分解成若干个小项目,还可以把已有的设计项目作为一个模块调用。

 

(6)对于用VHDL完成的一个确定设计,可以利用EDA工具进行逻辑综合和优化,并能自动地把VHDL描述转变成门电路级网表文件。

 

(7)设计灵活,修改方便,同时也便于设计结果的交流、保存和重用,产品开发速度快,成本低。

2.2VHDL设计流程:

(1文本编辑:

用任何文本编辑器都可以进行,也可以用专用的HDL编辑环境。

(2)功能仿真:

将文件调入HDL仿真软件进行功能仿真,检查逻辑功能是否正确。

(3)逻辑综合:

将源文件调入逻辑综合软件进行综合,即把语言综合成最简的布尔表达式。

(4)时序仿真:

需要利用在布局布线中获得的精确参数,用仿真软件验证电路的时序。

(5)器件编程:

对使用的元件可以进行编程。

3.秒表设计:

3.1原理框图:

 

3.2设计模块化:

由以上原理图可实现秒表设计的模块化,具体而言,可以分为6个模块:

分频器:

对晶体振荡器产生的时钟信号进行分频,产生时间基准信号。

计数器:

对时间基准脉冲进行计数,完成计时功能。

注:

其中包含了一个1kHz到100Hz的分频器,合并在一个模块里。

数据锁存器:

锁存数据,使显示保持锁定。

控制器:

控制计数器的运行、暂停以及复位;产生锁存器的是能信号。

扫描显示的控制电路:

包括扫描计数器、和7段译码器;控制8个数码管以扫描方式显示计时结果。

按键消抖电路:

消除按键输入信号抖动的影响,输出单脉冲。

每个模块调试后可通过顶层文件共同构成秒表的总电路。

3.3模块的功能简述:

3.3.1分频器:

秒表设计中共需要两种分频器。

一种是通过模为48000的计数器进位输出实现将晶体振荡器产生的48MHz的时钟信号转化为1KHz的时钟信号作为基准,方便下面各个模块的取用。

另一种是通过模为10的计数器进位输出将上述1KHz的时钟信号转化为100Hz的时钟信号,作为计数器的时钟输入。

注:

实际设计中,第二种分频器被划归到计数器模块,实现与原理图的匹配。

3.3.2计数器:

计数器功能是通过输入的时钟信号实现计数功能,在秒表设计里,共需要4个模10计数器和2个模6计数器。

具体而言,其中2个模10计数器和2个模6计数器分别级联产生2个模60计数器,实现了到秒和到分的进位。

而其他的两个模10计数器则是2位模10计数。

3.3.3数据锁存器:

它是一个控制端控制的组合逻辑电路,实现了暂时锁存数据的功能。

3.3.4显示译码模块:

显示译码管外联部分示意图:

内部原理图:

功能是:

从锁存器接入数据,通过扫描计数的输出来控制多路复用的数据选择端口和三八译码器的输入端口,使其数据变化相同。

38译码器的输出进行位选,bcd的输出进行段选使其能在显示译码管显示完整数据。

注:

本实验电路板使用共阳极,即低电平有效。

3.3.5控制模块:

功能是产生实现秒表的暂停or开始,锁存or清零功能。

具体而言产生三个输出控制信号:

清零,计数,锁存。

实现方法:

Mealy机或者是Moore机方式,区别是有一个状态的不同。

实现原理图:

3.3.6按键消抖模块:

功能是消除按键输入信号的影响,输出单脉冲。

方便实现两个按键对秒表的瞬时控制。

3.3.7顶层文件:

实现各个模块之间的连接匹配,同时分配实际电路板的引脚。

4.模块电路仿真:

4.1分频器:

4.2计数器:

4.2.1模6计数器:

4.2.2模10计数器:

5.实验总结:

5.1实验结果:

经过测试,可以由FPGA板上的key-7,key-8控制秒表实现开始暂停锁存清零的相应功能,其它功能基本符合要求。

另一方面,通过Modesim仿真,可以测试各程序模块,并且可以清楚看到各模块仿真结果与其功能相符合。

5.2心得总结:

本次EDA实验大致经历了一个多星期,这段时间里我学到了很多东西。

刚开始我对VHDL语言的一无所知而且显得无从下手,在调整心态后我开始仔细了解和掌握课件中有关于VHDL的语句,设计流程和调试方法,在对这些有一定理解的基础上,我又看了几个VHDL应用的小例子,增加对原理的熟悉度。

在编调试顶层文件的程序时,各元件之间的连接定义方法和关系让我纠结了好久,最后在别人的建议下,我先画出模块连接框图,明确定义了各个输入和输出的类型和变量。

在此基础上设计秒表的VHDL语言,显得条理清晰和检查纠错方便。

通过这次实验,我懂得了理论与实际相结合是很重要的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正理解并掌握其中的原理方法,从而提高自己的实际动手能力和独立思考的能力。

在设计的过程中遇到问题,发现了自己的不足之处,这是不可避免的。

但更重要的是我们要有自己解决问题的思路和方法,这样才能不断完善自己。

希望以后能有更多的动手实践机会,在硬件实践中发现自己的不足,弥补自己的不足。

最后,特别感谢老师对我的帮助,让我最终顺利完成秒表的设计实验。

6.参考文献:

[1]李国洪、胡辉、沈明山.EDA技术与实验.机械工业出版社,2009

[2]闫石.数字电子技术基础(第五版).高等教育出版社,2006

[3](美)JohnF.Wakerly.数字设计:

原理与实践(原书第四版).北京:

机械工业出版社,2007.5

7.附录(各模块源程序):

(1)分频器:

48MHz到1KHz分频器:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_Unsigned.All;

--Uncommentthefollowinglibrarydeclarationifusing

--arithmeticfunctionswithSignedorUnsignedvalues

--useIEEE.NUMERIC_STD.ALL;

--Uncommentthefollowinglibrarydeclarationifinstantiating

--anyXilinxprimitivesinthiscode.

--libraryUNISIM;

--useUNISIM.VComponents.all;

entityfp48m_to_1kis

port(clk:

inSTD_LOGIC;

q:

outSTD_LOGIC);

endfp48m_to_1k;

architectureBehavioraloffp48m_to_1kis

signalsum:

std_logic_vector(15downto0):

=(others=>'0');

signalq1:

std_logic:

='0';

begin

process(clk)

begin

iffalling_edge(clk)then

ifsum=47999then

sum<=(others=>'0');

else

sum<=sum+1;

endif;endif;

--q<=q1;

endprocess;

q<=sum(15);

endBehavioral;

1KHz到100Hz分频器:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_Unsigned.All;

--Uncommentthefollowinglibrarydeclarationifusing

--arithmeticfunctionswithSignedorUnsignedvalues

--useIEEE.NUMERIC_STD.ALL;

--Uncommentthefollowinglibrarydeclarationifinstantiating

--anyXilinxprimitivesinthiscode.

--libraryUNISIM;

--useUNISIM.VComponents.all;

entityfp1k_to_100is

port(clk:

inSTD_LOGIC;

q:

outSTD_LOGIC);

endfp1k_to_100;

architectureBehavioraloffp1k_to_100is

signalsum:

std_logic_vector(2downto0):

=(others=>'0');

signalq1:

std_logic:

='0';

begin

process(clk)

begin

iffalling_edge(clk)then

ifsum=4then

sum<=(others=>'0');q1<=notq1;

else

sum<=sum+1;

endif;endif;

q<=q1;

endprocess;

endBehavioral;

(2)计数器:

模6计数器:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_unsigned.ALL;

--Uncommentthefollowinglibrarydeclarationifusing

--arithmeticfunctionswithSignedorUnsignedvalues

--useIEEE.NUMERIC_STD.ALL;

--Uncommentthefollowinglibrarydeclarationifinstantiating

--anyXilinxprimitivesinthiscode.

--libraryUNISIM;

--useUNISIM.VComponents.all;

entitycnt_6is

Port(clk:

inSTD_LOGIC;

clr:

inSTD_LOGIC;

en:

inSTD_LOGIC;

co:

outSTD_LOGIC;

sum:

outSTD_LOGIC_VECTOR(2downto0));

endcnt_6;

architectureBehavioralofcnt_6is

signaltemp:

std_logic_vector(2downto0);

begin

process(clk,clr,temp)

begin

ifclr='1'then

temp<="000";co<='0';

elsif(clk='1'andclk'event)then

ifen='1'then

iftemp="101"then

temp<="000";

elsetemp<=temp+1;

endif;

endif;

endif;

iftemp="101"anden='1'then

co<='1';

elseco<='0';

endif;

sum<=temp;

endprocess;

endBehavioral;

模10计数器:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_unsigned.ALL;

--Uncommentthefollowinglibrarydeclarationifusing

--arithmeticfunctionswithSignedorUnsignedvalues

--useIEEE.NUMERIC_STD.ALL;

--Uncommentthefollowinglibrarydeclarationifinstantiating

--anyXilinxprimitivesinthiscode.

--libraryUNISIM;

--useUNISIM.VComponents.all;

entitycnt_10is

Port(clk:

inSTD_LOGIC;

clr:

inSTD_LOGIC;

en:

inSTD_LOGIC;

co:

outSTD_LOGIC;

sum:

outSTD_LOGIC_VECTOR(3downto0));

endcnt_10;

architectureBehavioralofcnt_10is

signaltemp:

std_logic_vector(3downto0);

begin

process(clk,clr,temp)

begin

ifclr='1'then

temp<="0000";co<='0';

elsif(clk='1'andclk'event)then

ifen='1'then

iftemp="1001"then

temp<="0000";

elsetemp<=temp+1;

endif;

endif;

endif;

iftemp="1001"anden='1'then

co<='1';

elseco<='0';

endif;

sum<=temp;

endprocess;

endBehavioral;

计数器模块级联:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

--Uncommentthefollowinglibrarydeclarationifusing

--arithmeticfunctionswithSignedorUnsignedvalues

--useIEEE.NUMERIC_STD.ALL;

--Uncommentthefollowinglibrarydeclarationifinstantiating

--anyXilinxprimitivesinthiscode.

--libraryUNISIM;

--useUNISIM.VComponents.all;

entityjishuqiis

Port(clk:

inSTD_LOGIC;

clr:

inSTD_LOGIC;

cnt:

inSTD_LOGIC;

cout0:

outSTD_LOGIC_VECTOR(3downto0);

cout1:

outSTD_LOGIC_VECTOR(3downto0);

cout2:

outSTD_LOGIC_VECTOR(3downto0);

cout3:

outSTD_LOGIC_VECTOR(2downto0);

cout4:

outSTD_LOGIC_VECTOR(3downto0);

cout5:

outSTD_LOGIC_VECTOR(2downto0);

coa:

outstd_logic);

endjishuqi;

architectureBehavioralofjishuqiis

COMPONENTfp1k_to_100

PORT(

clk:

INstd_logic;

q:

OUTstd_logic

);

ENDCOMPONENT;

COMPONENTcnt_10

PORT(

clk:

INstd_logic;

clr:

INstd_logic;

en:

INstd_logic;

co:

OUTstd_logic;

sum:

OUTstd_logic_vector(3downto0)

);

ENDCOMPONENT;

COMPONENTcnt_6

PORT(

clk:

INstd_logic;

clr:

INstd_logic

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 总结汇报 > 学习总结

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1