《EDA技术》实验报告.docx

上传人:b****8 文档编号:9340183 上传时间:2023-02-04 格式:DOCX 页数:16 大小:567.97KB
下载 相关 举报
《EDA技术》实验报告.docx_第1页
第1页 / 共16页
《EDA技术》实验报告.docx_第2页
第2页 / 共16页
《EDA技术》实验报告.docx_第3页
第3页 / 共16页
《EDA技术》实验报告.docx_第4页
第4页 / 共16页
《EDA技术》实验报告.docx_第5页
第5页 / 共16页
点击查看更多>>
下载资源
资源描述

《EDA技术》实验报告.docx

《《EDA技术》实验报告.docx》由会员分享,可在线阅读,更多相关《《EDA技术》实验报告.docx(16页珍藏版)》请在冰豆网上搜索。

《EDA技术》实验报告.docx

《EDA技术》实验报告

《EDA技术》课程实验报告

 

姓名:

学号:

班级:

同组者:

指导教师:

 

信息科学与工程学院

2013-2014学年第二学期

《EDA技术》课程实验报告

学生姓名:

所在班级:

指导教师:

记分及评价:

报告满分

5分

得分

 

一、实验名称

实验1-3:

简单数字电子钟的设计(原理图输入设计方法)

二、任务及要求

【基本部分】

1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。

要求具备使能功能和异步清零功能,设计完成后封装成一个元件。

2、同1,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个60进制同步计数器的设计,并进行时序仿真。

要求具备使能功能和异步清零功能,设计完成后封装成一个元件。

3、利用1和2所设计的60进制计数器和24进制计数器元件,采用同步的方式设计一个简单的数字电子钟并进行时序仿真,要求具有时分秒功能显示功能、使能功能和异步清零功能。

【发挥部分】

1、思考:

采用反馈清零法设计的计数器与反馈置数法有何不同?

请用实例进行仿真。

2、如何实现电子钟时分秒连续可调的功能?

三、原理图

图1简单数字电子钟原理图

图260进制原理图

图324进制原理图

四、仿真及结果分析

图4时钟电路总体仿真波形图

图5时钟电路局部仿真波形图

调用已经完成的24进制计数器和60进制计数器,完成一个具有时分秒功能的简单同步数字电子钟的设计思路是,首先在QuartusII上就要把24进制与60进制的原理图打包,便于这次试验的连线的美观,我们需要两片60进制计数器(分别作为秒计数器与分计数器)和一片24进制计数器(作为时针计数器),时钟信号同时接到三片计数器的CLK上,先是秒钟计数,计数到59秒后,进一位给分钟计数器,显示1分,秒钟继续计数直到分钟进位到显示59分时,进一位到时钟计数器上,时钟显示1小时,如此反复,则达到了我们所需要的24小时的秒分时的一个设计目的,再编译,新建波形文件即可。

五、小结

通过这次数字钟的设计和实现,我们掌握了六十进制和二十四进制数字电路的设计,同时学会了使用QuartusII这个软件来设计和模拟数字电路。

由于数电有些知识已经忘记,实验过程中遇到了很多困难,但在老师和同学的帮助下,顺利完成了此次的设计,同时也借此机会复习了数电知识,提高了自己动手能力。

《EDA技术》课程实验报告

学生姓名:

所在班级:

指导教师:

记分及评价:

报告满分

3分

得分

 

一、实验名称

实验4:

3-8译码器的设计

二、任务及要求

【基本部分】

1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成3-8译码器的设计并进行时序仿真。

2、设计完成后生成一个元件,以供更高层次的设计调用。

3、实验箱上进行验证。

【发挥部分】

修改设计,完成3-6译码器的设计,并进行时序仿真。

三、实验程序

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYDECODER3_8IS

PORT(S1,S2,S3:

INSTD_LOGIC;

Y:

OUTSTD_LOGIC_VECTOR(7DOWNTO0));

ENDENTITYDECODER3_8;

ARCHITECTUREJGTOFDECODER3_8IS

SIGNALS:

STD_LOGIC_VECTOR(2DOWNTO0);

BEGIN

S<=S1&S2&S3;

PROCESS(S1,S2,S3)

BEGIN

CASESIS

WHEN"000"=>Y<="00001111";

WHEN"001"=>Y<="11110000";

WHEN"010"=>Y<="11001100";

WHEN"011"=>Y<="00110011";

WHEN"100"=>Y<="10101010";

WHEN"101"=>Y<="01010101";

WHEN"110"=>Y<="11100111";

WHEN"111"=>Y<="00011000";

ENDCASE;

ENDPROCESS;

ENDJGT;

四、仿真及结果分析

新建一个VHDL文件,根据题目要求编写程序,再进行编译,编译无误后,锁定引脚,重新编译一次,如无错误,将其结果连接到实验箱,在实验箱上观察结果。

五、硬件验证

1、引脚锁定情况表:

六、小结

通过这次实验,让我们更加了解了QuaterII的使用,并深入体会到了VHDL语言的广泛应用。

一方面培养了我用自己的专业知识解决问题的能力,进一步理解了理论必须运用于实际的重要性,加深了我对这门课程及专业知识的理解,对以后的工作学习生活都有很大的意义;另一方面我也发现自己很多的不足,对以前所学过的知识理解得不够深刻,掌握得不够牢固,这都是自己以后需要深入学习和克服的问题。

《EDA技术》课程实验报告

学生姓名:

所在班级:

指导教师:

记分及评价:

报告满分

3分

得分

一、实验名称

实验5:

7段LED显示译码器的设计

二、任务及要求

【基本部分】

1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成7段LED显示译码器的设计并进行时序仿真。

2、设计完成后生成一个元件,以供更高层次的设计调用。

3、实验箱上进行验证。

【发挥部分】

新建一原理图文件,调用一个实验一的24进制计数器元件和2个本次的LED显示译码器元件,组成带LED显示译码器的24进制计数器电路,选择一个不带译码器的模式,在实验箱上进行验证。

三、实验程序

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYLED_7IS

PORT(S1,S2,S3,S4:

INSTD_LOGIC;

Y:

OUTSTD_LOGIC_VECTOR(6DOWNTO0);

SEL:

OUTSTD_LOGIC_VECTOR(7DOWNTO0));

ENDENTITYLED_7;

ARCHITECTUREJGTOFLED_7IS

SIGNALS:

STD_LOGIC_VECTOR(3DOWNTO0);

BEGIN

S<=S1&S2&S3&S4;

SEL<="11111110";

PROCESS(S1,S2,S3,S4)

BEGIN

CASESIS

WHEN"0000"=>Y<="1111110";----0

WHEN"0001"=>Y<="0110000";----1

WHEN"0010"=>Y<="1101101";----2

WHEN"0011"=>Y<="1111001";----3

WHEN"0100"=>Y<="0110011";----4

WHEN"0101"=>Y<="1011011";----5

WHEN"0110"=>Y<="1011111";----6

WHEN"0111"=>Y<="1110000";----7

WHEN"1000"=>Y<="1111111";----8

WHEN"1001"=>Y<="1111011";----9

WHEN"1010"=>Y<="1110111";----A

WHEN"1011"=>Y<="0011111";----B

WHEN"1100"=>Y<="1001110";----C

WHEN"1101"=>Y<="0111101";----D

WHEN"1110"=>Y<="1001111";----E

WHEN"1111"=>Y<="1000111";----F

ENDCASE;

ENDPROCESS;

ENDJGT;

四、仿真及结果分析

新建一个VHDL文件,显示哪个数字段哪段数码管就亮,编写程序,再进行编译,编译无误后,锁定引脚,重新编译一次,如无错误,将其结果连接到实验箱,在实验箱上观察结果。

五、硬件验证

1、引脚锁定情况表:

六、小结

在这次试验中,通过老师的讲解以及阅读相关课本,我对QuartusII平台有了进一步的了解,初步知道整个设计过程。

在设计过程中,许多问题的暴露使得我们不仅对数字电路原理有了更加深刻的了解,也使我们对QuartusII平台的使用规则有了更多的了解。

掌握这门技术为今后更多的设计工作打下了基础,我受益匪浅。

《EDA技术》课程实验报告

学生姓名:

所在班级:

指导教师:

记分及评价:

报告满分

3分

得分

一、实验名称

实验6:

60进制计数器设计

二、任务及要求

【基本部分】

1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成60进制计数器的设计并进行时序仿真。

2、设计完成后生成一个元件,以供更高层次的设计调用。

3、实验箱上进行验证。

【发挥部分】

在60进制基础上设计6进制计数器,完成时序仿真。

三、实验程序

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYCNTM60_JSIS

PORT(CLK,EN,CLR:

INSTD_LOGIC;

CO:

OUTSTD_LOGIC;

QH:

BUFFERSTD_LOGIC_VECTOR(3DOWNTO0);

QL:

BUFFERSTD_LOGIC_VECTOR(3DOWNTO0));

ENDENTITYCNTM60_JS;

ARCHITECTUREmmmmOFCNTM60_JSIS

BEGIN

CO<='1'WHEN(QH="0101"ANDQL="1001"ANDEN='1')ELSE'0';

PROCESS(CLK,CLR)

BEGIN

IF(CLR='0')THEN

QH<="0000";

QL<="0000";

ELSIF(CLK'EVENTANDCLK='1')THEN

IF(EN='1')THEN

IF(QL=9)THEN

QL<="0000";

IF(QH=5)THEN

QH<="0000";

ELSE

QH<=QH+1;

ENDIF;

ELSE

QL<=QL+1;

ENDIF;

ENDIF;

ENDIF;

ENDPROCESS;

ENDmmmm;

四、仿真及结果分析

由以上代码编译,仿真,得到一下时序仿真波形图。

用VHDL语言实现一个六十进制计数器,该计数器有计数使能端en,清零端clr和进位输出端co。

档en=1时,计数器正常计数;当clr=1时,计数器清零。

最后在试验箱上仿真,数码管显示了0到59,则60进制计数器完成。

五、硬件验证

1、选择模式:

模式7

2、引脚锁定情况表:

六、小结

这次实验仿真了六十进制计数器运用到了QuartusII软件。

通过学习设计,初步掌握了QuartusII软件的使用并且深入地体会到VHDL语言的广泛应用。

这次实验让我感觉收获颇多,一方面培养了我用自己的专业知识解决问题的能力,进一步理解了理论必须运用于实际的重要性,加深了我对这门课程及专业知识的理解,对以后的工作学习生活都有很大的意义;另一方面我也发现自己很多的不足,对以前所学过的知识理解得不够深刻,掌握得不够牢固,这都是自己以后需要深入学习和克服的问题。

《EDA技术》课程实验报告

学生姓名:

所在班级:

指导教师:

记分及评价:

报告满分

3分

得分

一、实验名称

实验7:

LED动态扫描控制器的设计

二、任务及要求

【基本部分】

1、新建原理图文件,调用以前的电子钟模块、LED显示译码器模块及其他计数器模块、译码器模块等,实现电子钟时、分、秒的结果在实验箱数码管上以动态扫描的方式显示出来。

2、设计完成后生成一个元件,以供更高层次的设计调用。

3、实验箱上进行验证。

 

【提示】

数码管为共阴极,低电平选中,电子钟等模块的使能、清零信号等直接接电源或地(正常工作)。

扫描时钟

>300Hz

三、实验程序

四、仿真及结果分析

五、硬件验证

1、引脚锁定情况表:

六、小结

本次实验是做一个LED动态扫描电路的设计,综合了前面所做的一些实验,在实验过程中也遇到了不少问题,把一个个模块结合起来要考虑很多问题,在讨论中得出了许多的解决方案,然后一个个去把自己的想法去实现。

经过多次的试验还是得出了想要出现得结果。

《EDA技术》课程实验报告

学生姓名:

所在班级:

指导教师:

记分及评价:

论文满分

10分

得分

自选“交通灯控制器”、“乐曲演奏电路”等综合性设计项目,完成程序设计及调试,并在实验箱上进行验证,并撰写科技论文1篇,要求完全按照论文格式要求,至少包含题目、作者姓名、部门、中英文摘要、中英文关键词、引言、设计方案、测试及结果分析、结论、参考文献等几个部分,其中参考文献不少于10篇,论文字数不少于3000字。

其中操作分10分,科技论文10分。

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 面试

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1