音乐硬件演奏器.docx

上传人:b****7 文档编号:9091758 上传时间:2023-02-03 格式:DOCX 页数:22 大小:215.14KB
下载 相关 举报
音乐硬件演奏器.docx_第1页
第1页 / 共22页
音乐硬件演奏器.docx_第2页
第2页 / 共22页
音乐硬件演奏器.docx_第3页
第3页 / 共22页
音乐硬件演奏器.docx_第4页
第4页 / 共22页
音乐硬件演奏器.docx_第5页
第5页 / 共22页
点击查看更多>>
下载资源
资源描述

音乐硬件演奏器.docx

《音乐硬件演奏器.docx》由会员分享,可在线阅读,更多相关《音乐硬件演奏器.docx(22页珍藏版)》请在冰豆网上搜索。

音乐硬件演奏器.docx

音乐硬件演奏器

EDA课程设计

——音乐硬件演奏器(梁祝)

 

 

学院:

华科学院

班级:

电子082202H

姓名:

马敏

学号:

200822070215

指导老师:

高文华

2010年12月

目录

一:

设计任务要求…………………………………………………2

二:

总体框图………………………………………………………2

三:

选择器件………………………………………………………3

四:

功能模块:

……………………………………………………3

1:

NoteTabs模块(程序仿真图)…………………………3

2:

ToneTaba模块(程序仿真图)…………………………6

3:

Speakera模块(程序仿真图)…………………………8

4:

Div模块(程序仿真图)…………………………………10

5:

Dispa模块(程序仿真图)………………………………12

五:

总体设计电路图………………………………………………13

1.顶层设计的电路原理图(Songer模块)………………13

2.顶层设计的仿真结果………………………………………15

3.电路的管脚图………………………………………………16

4.仿真结果分析、总结与解释及改进方案………………16

5、经验交流……………………………………………………18

六:

结束语…………………………………………………………18

七:

心得体会………………………………………………………19

八:

参考文献………………………………………………………19

 

音乐硬件演奏器

——歌曲“梁祝”

一、设计任务要求

1、设计任务

利用数控分频器设计硬件乐曲演奏电路

2、设计任务

a)利用分频器的设计,分析、及测试其功能,然后通过分频器测试音符的输出信号;

b)分析本次设计内容,掌握其各个模块的功能,输入不同的信号,仿真出其输出信号内容;

c)掌握EDA的使用工具QuartusII,通过VHDL语言的编辑得出各个模块的电路内容;

d)组成乐曲的每个音符的发音频率值及其持续的时间是乐曲能连续演奏所需要的两个基本要素,运用这两个基本要素所对应的数值,通过纯硬件的手段实现乐曲的演奏效果;

e)本设计是通过内部固定的音乐内容来实现音乐的自动播放,通过本次

内容分析其优缺点。

二、总体框图

该主系统由三个模块:

tonetaba.vhd、notetabs.vhd、speakera.vhd、div.vhd、dispa.vhd组成。

①首先定制notetabs.vhd、模块中的音符数据ROM”music”。

②根据给出的乘法器逻辑原理图及其模块的VHDL描述在QuartusⅡ上完成设计。

③完成编译,综合,仿真,管教分配,编程下载。

1.对于模块NoteTabs的功能描述:

该模块的功能就是定义音符数据ROM“music”随着该模块中的计数器控制时钟频率速率作加法计数时,即地址值递增时,音符数据ROM中的音符数据。

将从ROM中通过ToneIndex[3..0]端口输向ToneTaba模块,演奏《梁祝》。

在该模块中设置了一个8位二进制计数器(计数最大值为138),作为音符数据ROM的地址发生器。

这个计数器的计数频率为4Hz,即每一计数值的停留时间为0.25秒,恰为当全音符设为1秒时,四四拍的4分音符持续时间。

2.对于模块ToneTaba,是乐曲简谱码对应的分频预置数查找表电路,其中设置了乐曲的全部音符所对应的分频置数,其中设置了“梁祝”乐曲全部音符所对应的分频预置数,共13个,每一音符的停留时间由音乐节拍和音调发生器模块NoteTabs的CLK的输入频率决定,在此为4Hz。

这13个值的输入由对应于ToneTaba的4位输入值Index[3..0]确定,而Index[3..0]的输入最多有16种可选值。

输向ToneTaba中Index[3..0]的值ToneIndex[3..0]的输出值与持续的时间由模块NoteTabs决定。

3.模块Speakera是一个数控分频器,音符的频率可由此模块获得。

由其CLK

端输入一具有较高频率(这里是12MHz)的信号,通过Speakera分频后由SPKOUT输出,由于直接从数控分频器中出来的输出信号是脉宽极窄的脉冲式信号。

为了利用驱动扬声器,需加一个D触发器以均衡其占空比,频率将是原来的1/2。

Speakera对CLK输入信号的分频比由11位预置数Tone[10..0]决定。

SPKOUT的输出频率将决定每一音符的音调,这样,分频计数器的预置值Tone[10..0]与SPKOUT的输出频率就有了对应关系。

例如在ToneTaba模块中若取出Tone[10..0]=1036,将发音符为“3”音的信号频率

4.模块div是一个分频器,用于将50MHz分成12MHz和8Hz

5.模块dispa是一个七段译码器,用于显示乐曲的简谱码。

三、选择器件

EPF10K10LC84-4芯片,模块NoteTabs,模块ToneTaba,模块Speakera

四、功能模块

1.对于模块NoteTabs

定义音符数据ROM“music”。

Music模块存放乐曲中的音符数据,它是利用LPM-ROM来实现的,将乐谱中相应的音符放在一个连续的地址上。

它首先是编写音符数据文件,将乐谱中相应的音符存放在一个连续的地址上。

因为1拍的时间定为1秒,提供的是8Hz的时钟频率(即1/4拍的整数倍),则需将这个音符存储在相应次数的连续几个地址上。

然后对音符数据进行ROM定制。

随着NoteTabs中的计数器按时钟频率速度作加法计数时,音符数据将从ROM中通过ToneIndex端口输向ToneTaba模块。

ROM中的音符数据模块(music)程序为:

WIDTH=4;

DEPTH=256;

ADDRESS_RADIX=DEC;

DATA_RADIX=DEC;

CONTENTBEGIN

00:

3;01:

3;02:

3;03:

3;04:

5;05:

5;06:

5;07:

6;08:

8;09:

8;

10:

8;11:

9;12:

6;13:

8;14:

5;15:

5;16:

12;17:

12;18:

12;19:

15;

20:

13;21:

12;22:

10;23:

12;24:

9;25:

9;26:

9;27:

9;28:

9;29:

9;

30:

9;31:

0;32:

9;33:

9;34:

9;35:

10;36:

7;37:

7;38:

6;39:

6;

40:

5;41:

5;42:

5;43:

6;44:

8;45:

8;46:

9;47:

9;48:

3;49:

3;

50:

8;51:

8;52:

6;53:

5;54:

6;55:

8;56:

5;57:

5;58:

5;59:

5;

60:

5;61:

5;62:

5;63:

5;64:

10;65:

10;66:

10;67:

12;68:

7;69:

7;

70:

9;71:

9;72:

6;73:

8;74:

5;75:

5;76:

5;77:

5;78:

5;79:

5;

80:

3;81:

5;82:

3;83:

3;84:

5;85:

6;86:

7;87:

9;88:

6;89:

6;

90:

6;91:

6;92:

6;93:

6;94:

5;95:

6;96:

8;97:

8;98:

8;99:

9;

100:

12;101:

12;102:

12;103:

10;104:

9;105:

9;106:

10;107:

9;108:

8;109:

8;110:

6;111:

5;112:

3;114:

3;115:

3;116:

8;117:

8;118:

8;119:

8;

120:

6;121:

8;122:

6;123:

5;124:

3;125:

5;126:

6;127:

8;128:

5;129:

5;

130:

5;131:

5;132:

5;133:

5;134:

5;135:

5;136:

0;137:

0;138:

0;

END;

其中WIDTH=4,表示数据输出为宽为4;DEPTH=256,表示共有256个5位数据点;ADDRESS-RADIX=DEC,表示地址信号用十进制;DATA-RADIX=DEC,表示输出数据是十进制数。

NoteTabs模块的程序:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYNoteTabsIS

PORT(clk:

INSTD_LOGIC;

ToneIndex:

OUTSTD_LOGIC_VECTOR(3DOWNTO0));

END;

ARCHITECTUREoneOFNoteTabsIS

COMPONENTMUSIC

PORT(address:

INSTD_LOGIC_VECTOR(7DOWNTO0);

clock:

INSTD_LOGIC;

q:

OUTSTD_LOGIC_VECTOR(3DOWNTO0));

ENDCOMPONENT;

SIGNALCounter:

STD_LOGIC_VECTOR(7DOWNTO0);

BEGIN

CNT8:

PROCESS(clk,Counter)

BEGIN

IFCounter=138THENCounter<="00000000";

ELSIF(clk'EVENTANDclk='1')THENCounter<=Counter+1;ENDIF;

ENDPROCESS;

u1:

MUSICPORTMAP(address=>Counter,q=>ToneIndex,clock=>clk);

END;

该模块的波形仿真图如下

2.对于模块ToneTaba

ToneTaba是乐曲简谱码对应的分频预置数查表电路。

以下为ToneTaba的模块程序:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYToneTabaIS

PORT(Index:

INSTD_LOGIC_VECTOR(3DOWNTO0);

CODE:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);

HIGH:

OUTSTD_LOGIC;

Tone:

OUTSTD_LOGIC_VECTOR(10DOWNTO0));

END;

ARCHITECTUREoneOFToneTabaIS

BEGIN

Search:

PROCESS(Index)

BEGIN

CASEIndexIS

WHEN"0000"=>Tone<="11111111111";CODE<="0000";HIGH<='0';--2047;

WHEN"0001"=>Tone<="01100000101";CODE<="0001";HIGH<='0';--773;

WHEN"0010"=>Tone<="01110010000";CODE<="0010";HIGH<='0';--912;

WHEN"0011"=>Tone<="10000001100";CODE<="0011";HIGH<='0';--1036;

WHEN"0101"=>Tone<="10010101101";CODE<="0101";HIGH<='0';--1197;

WHEN"0110"=>Tone<="10100001010";CODE<="0110";HIGH<='0';--1290;

WHEN"0111"=>Tone<="10101011100";CODE<="0111";HIGH<='0';--1372;

WHEN"1000"=>Tone<="10110000010";CODE<="0001";HIGH<='1';--1410;

WHEN"1001"=>Tone<="10111001000";CODE<="0010";HIGH<='1';--1480;

WHEN"1010"=>Tone<="11000000110";CODE<="0011";HIGH<='1';--1542;

WHEN"1100"=>Tone<="11001010110";CODE<="0101";HIGH<='1';--1622;

WHEN"1101"=>Tone<="11010000100";CODE<="0110";HIGH<='1';--1668;

WHEN"1111"=>Tone<="11011000000";CODE<="0001";HIGH<='1';--1728;

WHENOTHERS=>NULL;

ENDCASE;

ENDPROCESS;

END;

该模块的波形仿真图如下

3.对于Speakera模块

Speakera的模块程序

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYSpeakerIS

PORT(clk:

INSTD_LOGIC;

Tone:

INSTD_LOGIC_VECTOR(10DOWNTO0);

SpkS:

OUTSTD_LOGIC);

END;

ARCHITECTUREoneOFSpeakerIS

SIGNALPreCLK,FullSpks:

STD_LOGIC;

BEGIN

DivideCLK:

PROCESS(clk)

VARIABLECount4:

STD_LOGIC_VECTOR(3DOWNTO0);

BEGIN

PreCLK<='0';

IFCount4>11THENPreCLK<='1';Count4:

="0000";

ELSIFclk'EVENTANDclk='1'THENCount4:

=Count4+1;

ENDIF;

ENDPROCESS;

GenSpkS:

PROCESS(PreCLK,Tone)

VARIABLECount11:

STD_LOGIC_VECTOR(10DOWNTO0);

BEGIN

IFPreCLK'EVENTANDPreCLK='1'THEN

IFCount11=16#7FF#THENCount11:

=Tone;FullSpkS<='1';

ELSECount11:

=Count11+1;FullSpks<='0';ENDIF;

ENDIF;

ENDPROCESS;

DelaySpkS:

PROCESS(FullSpks)

VARIABLECount2:

STD_LOGIC;

BEGIN

IFFullSpks'EVENTANDFullSpks='1'THENCount2:

=NOTCount2;

IFCount2='1'THENSpkS<='1';

ELSESpkS<='0';ENDIF;

ENDIF;

ENDPROCESS;

END;

该模块的波形仿真图如下

4.div模块

div模块的程序

LIBRARYieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

ENTITYdivIS

PORT(

clk:

INSTD_LOGIC;

CLK12MHz,CLK8Hz:

OUTstd_logic);

ENDdiv;

ARCHITECTUREoneofdivis

begin

u1:

process(clk)

variablecnt:

integerrange0to2;

variabletmp:

std_logic;

begin

if(clk'eventandclk='1')then

ifcnt>=2then

cnt:

=0;

tmp:

=nottmp;

else

cnt:

=cnt+1;

endif;

endif;

CLK12MHz<=tmp;

endprocessu1;

u2:

process(clk)

variablecnt:

integerrange0to6250000;

variabletmp:

std_logic;

begin

if(clk'eventandclk='1')then

ifcnt>=6249999then

cnt:

=0;

tmp:

=nottmp;

else

cnt:

=cnt+1;

endif;

endif;

CLK8Hz<=tmp;

endprocessu2;

endone;

该模块波形仿真图如下

5、dispa模块

Diapa模块的程序

libraryieee;

useieee.std_logic_1164.all;

entitydispais

port(d:

instd_logic_vector(3downto0);

q:

outstd_logic_vector(6downto0));

enddispa;

architecturedispa_arcofdispais

begin

process(d)

begin

casedis

when"0000"=>q<="0111111";

when"0001"=>q<="0000110";

when"0010"=>q<="1011011";

when"0011"=>q<="1001111";

when"0100"=>q<="1100110";

when"0101"=>q<="1101101";

when"0110"=>q<="1111101";

when"0111"=>q<="0100111";

when"1000"=>q<="1111111";

whenothers=>q<="1101111";

endcase;

endprocess;

enddispa_arc;

该模块的波形仿真图如下

五.总体设计电路图

1.顶层设计的电路原理图

Songer模块就是顶层设计文件,所有的模块都由它调用。

该Songer模块的程序为:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYSONGERIS

PORT(CLK12MHZ:

INSTD_LOGIC;

CLK8HZ:

INSTD_LOGIC;

CODE1:

OUTstd_logic_vector(3downto0);

HIGH1:

OUTSTD_LOGIC;

spkout:

outstd_logic);

ENDsonger;

ARCHITECTUREoneOFSONGERIS

COMPONENTnotetabs

Port(clk:

instd_logic;

toneindex:

outSTD_LOGIC_VECTOR(3DOWNTO0));

endcomponent;

componenttonetaba

PORT(Index:

INSTD_LOGIC_VECTOR(3DOWNTO0);

CODE:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);

HIGH:

OUTSTD_LOGIC;

Tone:

OUTSTD_LOGIC_VECTOR(10DOWNTO0));

ENDCOMPONENT;

COMPONENTSpeaker

PORT(clk:

INSTD_LOGIC;

Tone:

INSTD_LOGIC_VECTOR(10DOWNTO0);

SpkS:

OUTSTD_LOGIC);

ENDCOMPONENT;

SIGNALTone:

std_logic_vector(10downto0);

SIGNALToneIndex:

std_logic_vector(3downto0);

begin

u1:

NotetabsPORTMAP(clk=>CLK8HZ,toneindex=>toneindex);

u2:

TonetabaPORTMAP(Index=>ToneIndex,Tone=>Tone,CODE=>CODE1,HIGH=>HIGH1);

u3:

SpeakerPORTMAP(clk=>CLK12MHZ,Tone=>Tone,SpkS=>SPKOUT);

end;

2.顶层设计的仿真结果如下:

由于本设计从仿真图上来判断设计是否成功不太直观。

最好的方法是完成下载验证通过实际电路验证,所以这里给出仿真结果有误差不是很符合实际效果。

将Songer模块设为当前文件,进行编译,编译成功:

3.电路的管脚图如下:

4、下载验证

通过选择ALTER实验箱配置方案,按照前面所述的方法进行程序配置,然后进行验证演示

仿真结果分析、总结与解释及改进方案

1、产生各音符所需的频率可用一分频器实现,由于各音符对应的频率多为非整数而分频系数又不能为小数,故必须将计算得到的分频数四舍五入取整。

若分频器时钟频率过低,则由于分频系数过小,四舍五入取整后的误差较大;若时钟频率产生各音符所需的频率可用一分频器实现,由于各音符对应的频率多为非整数,过高,虽然误差变小,但会增加分频器的分频级数;

2、分频计数器的预置值Tone[10..0]与SPKOUT的输出频率就有了对应关系。

在动态显示乐曲演奏器的设计中,采用音符编码输出的方式,即乐曲中每一个高、中、低音音符对应着不同的编码,由编码确定对应音符的输出频率.

3、音符的持续时间需根据乐曲的速度及每个音符的节拍数来确定,TONETABA的功能首先是为SPEAKER提供决定所发音符的分频预置数,而此数在SPEAKER输入口停留的时间即为此音符的节拍值;

优点及其改进方案:

1、VHDL类型众多而且支持用户自定义类型,支持自顶而下的设计方法和多种电路的设计,设计层次较高、用于较复杂的计算时,能尽早发现存在的问题,缩短设计周期

2、与利用微处理器(CPU或MCU)来实现乐曲演奏相比,以纯硬件完成乐曲演奏电路的逻辑要复杂得多,如果不借助于功能强大的EDA工具和硬件描述语言,仅凭传统的数字逻辑技术,即使最简单的演奏电路也难以实现;

3、利用EDA技术设计的电路,具有硬件电路简捷,集成度高。

性能稳定的优点。

这种设计方法效率高,风格灵活,体现了现代电子电路设计的先进思想;

改进方案:

本实验的音乐播放属于软件的方式内置音符,可以通过改变实现方式改变音乐的产生,用按键CS来选择不同功能,第一种功能是用手动即通过按键的形式输入不同音名,第二种功能是音乐发生器,可以自动重复播放“梁祝”音乐,当CS为高电平1时,选择功能二,当CS为低电平0时,选择功能一,其改进方案的电路如下:

经验交流:

1、相对于其它计算机语言的学习,如C或汇编语言,VHDL具有明显的特点。

这不仅仅是由于VHDL作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件电路知识,包括目标芯片基本结构方面的知识更重要的是由于VHDL描述的对象始终是客观的电路系统。

传统的软件编程语言只能根据CPU的工作方式,以排队式指令的形式来对特定的事件

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 成人教育 > 电大

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1