RS触发器毕业课程设计报告.docx

上传人:b****7 文档编号:8794523 上传时间:2023-02-01 格式:DOCX 页数:20 大小:610.07KB
下载 相关 举报
RS触发器毕业课程设计报告.docx_第1页
第1页 / 共20页
RS触发器毕业课程设计报告.docx_第2页
第2页 / 共20页
RS触发器毕业课程设计报告.docx_第3页
第3页 / 共20页
RS触发器毕业课程设计报告.docx_第4页
第4页 / 共20页
RS触发器毕业课程设计报告.docx_第5页
第5页 / 共20页
点击查看更多>>
下载资源
资源描述

RS触发器毕业课程设计报告.docx

《RS触发器毕业课程设计报告.docx》由会员分享,可在线阅读,更多相关《RS触发器毕业课程设计报告.docx(20页珍藏版)》请在冰豆网上搜索。

RS触发器毕业课程设计报告.docx

RS触发器毕业课程设计报告

(此文档为word格式,下载后您可任意编辑修改!

课程设计报告

课程名称数字逻辑课程设计

课题JK触发器的设计

专业计算机科学与技术

班级1201

学号

姓名张敏

指导教师刘洞波邓作杰陈多    

2013年12月17日

课程设计任务书

课程名称数字逻辑课程设计

课题JK触发器的设计

专业班级计算机科学与技术0901

学生姓名张敏

学号

指导老师刘洞波邓作杰陈多

审批

任务书下达日期:

2013年12月09日

任务完成日期:

2013年12月17日

目录

设计内容与设计要求----

系统分析------

详细设计

总结-----

附录(源代码)--

一、设计内容与设计要求

1.设计内容:

本课程是一门专业实践课程,学生必修的课程。

其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者VerilogHDL设计电子系统的流程和方法,采用QuartusII等工具独立应该完成1个设计题目的设计、仿真与测试。

加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用QuartusII进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。

题目一4线-16线译码器电路设计;

题目二16选1选择器电路设计;

题目三4位输入数据的一般数值比较器电路设计

题目四10线-4线优先编码器的设计

题目五8位全加器的设计

题目六RS触发器的设计;

题目七JK触发器的设计;

题目八D触发器的设计;

题目九十进制同步计数器的设计;

题目十T触发器的设计;

每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。

参考书目

EDA技术与VHDL程序开发基础教程

雷伏容,李俊,尹霞 

清华大学出版社 

416-7 

2010 

TP312VH/36

VHDL电路设计技术

王道宪贺名臣_刘伟 

国防工业出版社 

2004 

TN702/62

VHDL实用技术

潘松,王国栋 

 

2000 

TP312VH/1

VHDL语言100例详解

北京理工大学ASIC研究所 

 

1999 

TP312VH/3

VHDL编程与仿真

王毅平等 

人民邮电出版社 

2000 

73.9621/W38V

VHDL程序设计教程

邢建平_曾繁泰 

清华大学出版社 

2005 

TP312VH/27/3

VHDL电路设计

雷伏容 

清华大学出版社 

2006 

TN702/185

2.设计要求:

●课程设计报告规范

课程设计报告应包含如下几个部分

1)功能描述

说明设计器件的功能,包括真值表(功能表),函数表达式,逻辑电路图

2)详细设计

按照VHDL语言开发流程写出整个开发过程,可以根据如下步骤适当导出程序,程序界面截图到课程设计报告对应模块。

3)调试分析以及设计体会

a.仿真或程序下载调试(附界面截图)。

b.设计过程中遇到的问题以及解决问题的方法。

c.课程设计过程经验教训、心得体会。

4)书写格式

见附带说明。

5)附录

a.参考书目

b.源程序清单(带注释)

●考核方式

指导老师负责验收程序的运行结果,并结合学生的工作态度、实际动手能力、创新精神和设计报告等进行综合考评,并按优秀、良好、中等、及格和不及格五个等级给出每位同学的课程设计成绩。

具体考核标准包含以下几个部分:

1)平时出勤(占10%)

2)系统需求分析、功能设计、数据结构设计及程序总体结构合理与否(占10%)

3)程序能否完整、准确地运行,个人能否独立、熟练地调试程序(占40%)

4)设计报告(占30%)

5)注意:

不得抄袭他人的报告(或给他人抄袭),一旦发现,成绩为零分。

6)独立完成情况(占10%)。

●课程设计验收要求

7)运行所设计的系统。

8)回答有关问题。

9)提交课程设计报告纸质稿。

10)提交源程序、设计报告文档电子稿。

11)依内容的创新程度,完善程序情况及对程序讲解情况打分。

一、系统分析

1、RS触发器的功能

状态转移

用表格的形式描述触发器在输入信号作用下,触发器的下一个稳定状态(次态)Qn+1与触发器的原稳定状态(现态)Qn和输入信号状态之间的关系。

真值表

异步置JK触发器无效显示

位复位

rsq_rsqn_rserr

00111111

01010000

10100000

11保持保持0000

11保持保持0000

11保持保持0000

11保持保持0000

二、详细设计

1、打开QuartusⅡ软件,建立一个新的工程:

1)单击菜单File\NewProjectWizard..

2)输入工程的路径、工程名以及顶层实体名。

3)单击Next>按钮,本实验没有包含已有文件,单击Next>按钮。

4)设置我们的器件信息。

5)单击Next>

2、建立VHDL文件:

1)单击File\New菜单项,选择弹出窗口中的VHDLFile项,单击OK按钮以建立打开空的VHDL文件。

2)在编辑窗口输入VHDL源文件并保存实体名,文件名必须和保存的顶层实体名必须一致。

3)编译工程

单击Processing/StartCompliation开始编译,编译过程可能出现若干错误信息,参考提示原因改正程序直到完全正确能够进行编译为止。

3、建立矢量波形文件

1)单击File/New命令,在弹出的窗口中找到otherfile中的VactoeWaveformFile项打开矢量波形窗口。

2)双击窗口左边的空白区域,单击Edit/EndTime设置时钟频率,单击Edit/InsertNodeandBus对话框。

3)单击NodeFinder按钮,打开以下对话框,选择Filter下列表中的Pins:

all,并点击List按照以下列出的所有端口,通过>>按钮把这些端口加入到右面的窗口中,单击OK完成端口的添加

4)回到波形编辑窗口,对所有输入端口设置输入波形,具体可以通过左边的工具栏,

或通过对信号的单击鼠标哟见的弹出式菜单中完成操作,最后保存次波形文件。

4、进行功能仿真

1)单击Assignments\Settings..,在弹出对话框将Simiulationmode设置为Functional,即功能仿真。

指定仿真波形文件后单击OK完成设置。

2)单击Processing\GenetateFunctionalSimulationNetlist以获得功能仿真网络表。

3)单击Processing\StartSimulation进入仿真页面。

5、进入时序仿真

如果功能仿真无误,可以进入时序仿真,时序仿真是增加了相关延迟的仿真,是最接近实际情况的仿真。

1)单击Assignments\Settings,在弹出对话框中将Simulationmode设置为Timeing即时仿真。

指定仿真波形文件后单击OK完成设置。

2)单击Processing\StartSimulation进入到仿真界面。

5、器件的下载

指定器件引脚:

单击Assignments\AssingnmentsPlns为每一个引脚赋值。

1、新建工程(如图)

2、保存并编辑原程序(如图)

Newprojectwizard

3、编译并检测原程序

Compiertool

Report

StartCompilation

4、模拟前的准备工作制作网表(Netlist)

5、设置波形图(VectorWaveformFile)

6、时序模拟Edit(endtime)

7、edit(Insert/insertnoteandbus..)

8、SimulatorTool

9、Report

10、配置引脚(Assignments/Assignmentspins)

三、调试程序

问题以及解决问题的方法:

调试程序时程序有很多错误,主要是文件名、实体名和触发器的顶级实体名不一致导致程序进行StartCompliation错误,改正就好了。

四.总结

通过一个星期的的课设,虽然非常累但也学到一些知识:

1、触发器是数字系统中非常重要的器件,它应用于有记忆功能的逻辑电路之中。

不同的触发器在状态的变化有不同的动作特点,用VHDL语言描述时,需要分析真值表,掌握其触发方式,结合触发器本身的特点充分应用if语句,让程序简单易读。

2、RS触发器存在无效状态,RS应具有互补的特性,当r,s端同时为0时,触发器处于无效状态u,输出为不定态。

这一点在程序的设计中叶应该特别注意。

3、在程序的编写过程中,我们应该首先分析各个端口的优先级顺序,这一点就可以利用if语句首先进行判断。

这一点是用VHDL语言进行设计数字逻辑电力的共同点,是我们学习过程中必须掌握的内容。

五、附录(源代码)

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYRS_clkIS

PORT(S,R,res:

INstd_logic;

Q,NOT_Q:

outstd_logic);

ENDRS_clk;

ARCHITECTUREbehavOFRS_clkIS

signalsel1,sel2:

std_logic;

BEGIN

process(res,sel1,sel2)

begin

ifres='0'thensel1<='0';

sel2<='1';

elsif(S='1'andR='0')thensel1<='1';

sel2<='0';

elsif(S='0'andR='1')thensel1<='0';

sel2<='1';

elsif(S='0'andR='0')thensel1<=sel1;

sel2<=sel2;

endif;

Q<=sel1;

NOT_Q<=sel2;

endprocess;

ENDbehav;

计算机与通信学院课程设计评分表

课题名称:

项目

评价

设计方案的合理性与创造性

设计与调试结果

设计说明书的质量

答辩陈述与回答问题情况

课程设计周表现情况

综合成绩

教师签名:

日期:

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 农学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1