简易数字信号传输性能分析仪设计报告东北林大姚金龙.docx

上传人:b****6 文档编号:8261183 上传时间:2023-01-30 格式:DOCX 页数:11 大小:324.59KB
下载 相关 举报
简易数字信号传输性能分析仪设计报告东北林大姚金龙.docx_第1页
第1页 / 共11页
简易数字信号传输性能分析仪设计报告东北林大姚金龙.docx_第2页
第2页 / 共11页
简易数字信号传输性能分析仪设计报告东北林大姚金龙.docx_第3页
第3页 / 共11页
简易数字信号传输性能分析仪设计报告东北林大姚金龙.docx_第4页
第4页 / 共11页
简易数字信号传输性能分析仪设计报告东北林大姚金龙.docx_第5页
第5页 / 共11页
点击查看更多>>
下载资源
资源描述

简易数字信号传输性能分析仪设计报告东北林大姚金龙.docx

《简易数字信号传输性能分析仪设计报告东北林大姚金龙.docx》由会员分享,可在线阅读,更多相关《简易数字信号传输性能分析仪设计报告东北林大姚金龙.docx(11页珍藏版)》请在冰豆网上搜索。

简易数字信号传输性能分析仪设计报告东北林大姚金龙.docx

简易数字信号传输性能分析仪设计报告东北林大姚金龙

 

2011年全国大学生电子设计竞赛

 

简易数字信号传输性能分析仪(E题)

【本科组】

 

 

2011年9月6日

摘要

本系统由数字信号发生、控制系统,低通滤波、信号分析四大部分组成。

信号发生采用FPGA实现;控制系统使用ARM7控制按键输入与液晶显示;低通滤波采用ispPAD80实现100KHz、200KHz、500KHz的截止频率,衰减达到90dB;信号分析由二级低通滤波器、比较器、FPGA组成。

本系统实现基本部分所有内容,发挥部分也实现大部分内容。

关键字:

FPGA,ispPAD80,放大器,比较器。

目录

1系统方案1

1.1控制模块的论证与选择1

1.2信号生成模块的论证与选择1

1.3低通滤波器设计的论证与选择1

1.4信号分析模块的论证与选择1

2系统理论分析2

2.1信号发生电路的分析2

2.2低通滤波电路的设计2

2.3信号分析电路的设计2

2.4控制模块的设计2

2.5眼图显示方法2

3电路与程序设计3

3.1电路的设计3

3.1.1信号发生子系统框图3

3.1.2低通滤波器子系统框图与电路原理图3

3.1.3信号分析电路子系统框图与电路原理图4

3.1.4电源5

3.2程序的设计5

3.2.1程序功能描述与设计思路5

3.2.2程序流程图6

4测试方案与测试结果7

4.1测试方案7

4.2测试条件与仪器7

4.3测试结果及分析7

4.3.1测试结果(数据)8

4.3.2测试分析与结论8

 

 

简易数字信号传输性能分析仪(E题)

【本科组】

1系统方案

本系统主要由控制模块、信号生成模块、低通滤波模块、信号分析模块。

下面分别论证这几个模块的选择。

1.1控制模块的论证与选择

方案一:

采用单片机作为主控制模块。

优点是经济实惠,成本较低,且控制简单。

缺点则是抗干扰能力差,故障率高。

方案二:

采用ARM7开发板作为主控制模块。

ARM功能丰富,开发简单,是偏向控制类的芯片。

方案三:

采用用拨码开关控制。

此方案控制简单,成本极低。

但功能单一,复杂了电路结构。

综合比较三种方案的功能实现与复杂程度,选择方案二。

1.2信号生成模块的论证与选择

方案一:

采用AD9850与寄存器。

此方案产生波形稳定。

但产生波形类型固定、控制复杂。

方案二:

利用FPGA编程产生信号。

此方案产生信号精度高,产生波形丰富。

方案三:

采用ARM的PWM方式生成信号,节约资源且控制方便。

精度低。

由于本系统要产生M序列的信号,信号波形为伪随机序列,使用FPGA更能灵活实现,故选择方案二。

1.3低通滤波器设计的论证与选择

方案一:

采用无源低通滤波器。

RC无源低通滤波电路元器件简单,能够实现低通滤波要求。

但是带外衰减较难达到设计需要,通带增益也不容易调节。

方案二:

采用有源低通滤波器。

经过计算二阶有源巴特沃兹滤波器就可以基本达到设计需求。

但是有源滤波器过渡带较长,使用多级才可以使带外衰减不少于40dB。

方案三:

采用可编程模拟器件滤波器。

可编程模拟器件ispPAC80可以实现各种5阶低通滤波器电路,一片就可以使衰减达到90dB,也不需要外接电阻电容等原器件。

该方法简单、快捷、修改方便。

综合以上三种方案,选择方案三。

1.4信号分析模块的论证与选择

1.4.1滤波模块论证

方案一:

采用可编程模拟器件滤波器。

可编程模拟器件ispPAC80可以实现各种5阶低通滤波器电路,一片就可以使衰减达到90dB,但是ispPAC80输出有直流偏移不符合系统设计要求

方案二:

采用开关电容滤波器,性能稳定速度快,但是开关电容截止频率较低

方案三:

采用无源滤波器,实验证明本系统采用二级RC滤波器能实现较好的滤波,

满足系统设计要求。

综合以上三种方案,选择方案三。

1.4.2检波模块论证

方案一:

先用比较器整形,再利用FPGA接受传输信号,依据M序列的周期特性解调。

方案二:

采用锁相器,逼近信号。

但误差较大。

实验证明,方案一效果更加,故选方案一。

2系统理论分析

2.1信号发生电路的分析

本题要求输出数字信号数据率为10—100kbs,按步进10kbps可调,数据率误差不大于1%的m序列;输出10MHZ的噪声信号;输出为TTL电平。

主要采取了3方面措施;第一,利用FPGA的DDS编程产生信号,利用相位寄存器的累加性实现数据率可调;第二,利用FPGA内部的锁相环得到合适的参考输入时钟,是误差达到合适的范围内;第三,输出信号通过芯片74HC245提升到5伏TTL电平。

M序列产生方法:

一个n级的二进制移位寄存器发生器中,所能产生的最大长度的码序周期为。

以m=4为例,若其初始状态为,则在移位一次时,由和模2相加产生新的输入新的状态变为这样移位15次后又回到初始状态,但若初始状态为(0,0,0,0),则移位后得到地全是0状态,这说意味着在这种反馈中要避免出现全0的状态.在4级移存器共有种不同状态,除全0状态以外还有15种可用.即由任何4级反馈移存器产生的序列的周期最长为15,满足(当n为4时).

2.2低通滤波电路的设计

在系统可编程模拟器件ispPAC80可以利用PAC-Designer软件实现各种5阶低通滤波器的设置。

简单的设置参数选用5阶巴特沃兹低通滤波器设计出截止频率分别为100KHz,200KHz,500KHz的低通滤波器,带外衰减远大于40Db/十倍聘程,后级加上集成运放放大电路和衰减电路实现滤波信号增益可调。

2.3信号分析电路的设计

信号发生电路最后生成带有噪声的混合信号,利用FPGA最小系统对接收到的信号进行解调,首先使用104电容对混合信号进行隔直操作,然后信号通过二级RC无源滤波器滤掉10MHz噪声信号,输出信号在经过1uF的电容输入到电压比较器,得到波形较好的方波。

这样就得到原信号,得到的信号再通过FPGA分析就得到原时钟信号。

2.4控制模块的设计

控制模块采用ARM7,通过ARM向FPGA|发送字节控制输出信号数据率步进的变换,通知驱动LCD液晶屏显示当前信号数据率数值。

2.5眼图显示方法

采用示波器SY模式,连接外输入同步,同时进行相应的设置既可以显示眼图

3电路与程序设计

3.1电路的设计

系统总体框图

系统总体框图如图3-1所示,

图3-1系统总体框图

3.1.1信号发生子系统框图(图3-2)

图3-2

3.1.2低通滤波器子系统框图与电路原理图

1、低通滤波器子系统框图

图3-3低通滤波器子系统框图

2、低通滤波器子系统电路(图3-4)

图3-4低通滤波器子系统电路

3、同相加法器子系统电路采用AD811做加法电路,输入信号为原信号和噪声信号,输出为混合信号V2a。

3.1.3信号分析电路子系统框图与电路原理图

1、信号分析电路子系统框图

图3-5信号分析电路子系统框图

2、低通滤波和过零比较电路子系统电路

图3-6信号分析电路子系统电路

3、检波电路子系统框图

图3-7检波电路子系统框图

3.1.4电源

电源由变压部分、滤波部分、稳压部分组成。

为整个系统提供

5V和+5电压,确保电路的正常稳定工作。

这部分电路比较简单,都采用三端稳压管实现,故不作详述。

3.2程序的设计

3.2.1程序功能描述与设计思路

1、程序功能描述

根据题目要求软件部分主要实现频率控制、M序列信号的产生于解调。

1)ARM实现功能:

设置频率值、输出控制字、通过LCD显示。

2)FPGA实现功能:

产生M序列信号,解调M序列。

2、程序设计思路

采用dds原理生成频率可调的方波,在方波的控制下,fpga对移位寄存器进行控制,

由此产生M序列码,将码元与时钟信号进行运算,可生成曼彻斯特码。

3.2.2程序流程图

程序流程图

1、ARM主程序流程图

图3-8ARM主程序流程图

2、信号发生流程图信号分析流程图

图3-9信号发生流程图图3-10信号分析流程图

4测试方案与测试结果

4.1测试方案

1、硬件测试

2、软件仿真测试

3、硬件软件联调

4.2测试条件与仪器

测试条件:

检查多次,仿真电路和硬件电路与系统原理图完全相同,并且检查无误,硬件电路保证无虚焊。

测试仪器:

双踪示波器TDS2002/60MHz/1GS/s

功率函数信号发生器SG1645

直流信号源EM1715

万用表MY-65

4.3测试结果及分析

4.3.1测试结果(数据)

基础部分:

(1)数字信号发生器输出m序列波形仿真结果如下:

数字信号发生器实际测得数据率如下:

(单位/khz)

设定值

10

20

30

40

50

60

70

80

90

100

示波器显示

10.04

20.02

30.04

40.06

50.08

60.08

70.07

80.8

90.14

100.2

用示波器增量法测得TTL电平为:

5V

(2)三个滤波器的截止频率分别为100KHz,210KHz,520KHz;

输入小信号状态下实际通带增益实现0.2~4;(大信号输出电压饱和)

(3)伪随机信号仿真结果如下图:

数字信号发生器输出10MHz的噪声时实际测得数据率如下:

10.04MHz

峰峰值可达100mv;

(4)可成功生成眼图。

发挥部分:

(1)

仿真可看出存在毛刺误差,实际显示时无误差。

(2)可同步提取时钟,频率误差不大于1%。

但相位误差明显。

眼图模糊。

(3)实际幅度范围可达100mv~TTL。

(4)可在一定信噪比范围内显示眼图。

4.3.2测试分析与结论

根据上述测试数据,可看出本系统已完成所以基本要求,系统性能良好。

此外,也对发挥部分的要求做到兼顾。

测试数据,图形均在理论范围内。

不过因为时间仓促,一些部分并没有进行精艺,所以本作品还有上升空间。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 小学教育 > 语文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1