传统电子电路设计与EDA设计之比较.docx

上传人:b****6 文档编号:7722979 上传时间:2023-01-26 格式:DOCX 页数:17 大小:119.10KB
下载 相关 举报
传统电子电路设计与EDA设计之比较.docx_第1页
第1页 / 共17页
传统电子电路设计与EDA设计之比较.docx_第2页
第2页 / 共17页
传统电子电路设计与EDA设计之比较.docx_第3页
第3页 / 共17页
传统电子电路设计与EDA设计之比较.docx_第4页
第4页 / 共17页
传统电子电路设计与EDA设计之比较.docx_第5页
第5页 / 共17页
点击查看更多>>
下载资源
资源描述

传统电子电路设计与EDA设计之比较.docx

《传统电子电路设计与EDA设计之比较.docx》由会员分享,可在线阅读,更多相关《传统电子电路设计与EDA设计之比较.docx(17页珍藏版)》请在冰豆网上搜索。

传统电子电路设计与EDA设计之比较.docx

传统电子电路设计与EDA设计之比较

EDA与传统电子设计的分析比较

陆健

摘要:

电子电路的设计制作进入了一个新阶段,出现了新的设计制作方法。

为与以往传统的电子电路制作方法区别开来,我们称之为现代电子电路设计制作方法。

现代电子电路的设计方式已经步入了EDA技术时代。

本文主要是介绍了传统电子设计的相关知识,即设计方法,设计流程。

以及优缺点。

同时也详细说明了EDA的设计流程以及多种设计方法,也介绍了EDA的优点。

这样的介绍是为了区分它们的不同之处,来作为EDA与传统电子设计的分析比较。

关键词:

EDA电子设计优点设计流程

 

EDAandelectronicdesignanalysisandcomparisonoftraditional

LuJian

Abstract:

Thedesignofelectroniccircuitsintoanewphase,theemergenceofnewdesignmethods.Withthepast,thetraditionalmethodofmakingadistinctionbetweenelectroniccircuits,wecallmodernelectroniccircuitdesignmethods.MeansofmodernelectroniccircuitdesignEDAtechnologyhasenteredtheera.Thisstudywillintroducethetraditionalelectronicsdesignknowledge,thatis,designmethods,designprocess.Andtheadvantagesanddisadvantages.AlsodetailstheEDAdesignflowandavarietyofdesign,butalsointroducestheEDAadvantages.Thispresentationistodistinguishtheirdifferences,aswithtraditionalelectronicdesignEDAanalysisandcomparison.

Keywords:

EDAElectronicDesignadvantagesofthedesignprocess

 

2.1.2集成电路布图设计5

3.3EDA的设计步骤14

3.4EDA电子电路的设计方法16

3.4.1"自顶向下"的设计方法16

3.4.2EDA技术的基本设计方法16

3.4.3.电路级设计法16

3.4.4系统级设计法17

3.5EDA的优点:

19

第4章举例说明——60进制计数器设计比较21

致谢23

参考文献24

 

第1章前言

为了更好的了解传统电子电路设计,先从电子电路的课程设计开始,去了解电子电路在教学领域中的发展,也能更好地让我们认识电子电路设计的发展前景,当中从在课程上的设计我们也能知道电子电路设计的怎么样一步一步的发展起来的,从而运用到生活当中的设计,在课和设计的介绍下,从而认识传统电子设计的背景,去了解传统的电子设计。

本文第2章主要介绍传统电子设计的方法和设计流程,着重认识和了解传统电子设计相关背景,也较为熟悉地知道它的设计方法,以及认识它的优缺点。

第3章着重介绍EDA,主要是它的意义、设计方法和设计流程。

本文用一定的篇幅去介绍它的设计流程和设计方法,主要是为了突出EDA的重要性,特别是为了体现出它的优势。

第4章主要是通过对60进制计数器的设计详细介绍来分步说明EDA设计好处。

 

第2章传统电子设计的相关概念

2.1电子电路设计的背景

2.1.1电子线路课程体系的由来

1.最初学苏联是以设备应用为主干的课程,后来改为模仿欧美以原理分析为主线的体系。

文革后逐步形成当前这种包括模拟和数字的内容,既强调理论又注重实践的教学模式。

电子线路课程体系是随着电子技术特别是信息技术的发展而发展的,这个发展以电子器件为主线,经历了电子管到晶体管到集成电路的发展过程。

成为当前稳定的专业基础课程体系,多年来既有专家老前辈的辛勤开拓也有广大教师的默默耕耘。

近年来有关电子线路教学领域有些事值得一提,一是教育部有关课委会整合(如电子线路与电子技术)和指导作用的淡化,以往以专业和课程教学为主的老专家由学科和科研为主的中青年专家所替换;二是教育部提出的高校教学评估对包括电子线路在内的课程建设的促进作用;三是和电子线路课程体系有关的大学生电子设计大赛已成为国家级重要赛事且引起广泛重视;四是电工电子教学基地和中心的建立和开放实验教学模式的推广;五是EDA技术已经对电子线路课程体系的进一步发展产生潜在影响。

2.电子线路课程是信息技术的基础,主要强调硬件知识和技能的获取。

信息的产生、处理、存储以及传输无不以电子线路为硬件基础。

电子线路包括器件、单元电路以及由此组成的电路与系统。

掌握这些硬件电路结构、原理以及如何进行分析和设计就构成电子线路的主要教学内容。

对硬件能力的培养需要环境条件和实践锻炼,对电子线路教学的要求相对比较高。

当前社会上呼吁需要硬件人员特别是具有设计能力的硬件人员。

3.由于新的理论将带动新器件、新方法,也会有阶段性的“突变”和“飞跃”,《电子线路》的这个特点就格外明显,例如从电子管到晶体管到集成电路的发展,都曾引起《电子线路》教材内容发生重大变化;又如,过去集成运放对线性电路的促进、乘法器对非线性电路的影响,当前可编程器件对数字电路的冲击。

随着社会发展和科技进步,教材内容和体系总要不断变化甚至更新换代。

但从新的一轮“面向21世纪教材改革”情况来看,仍然是几部传统的教材起主导地位,《电子线路》的基本轮廓还没有发生大的变化。

教材的质量和生命力在于立意和内容。

一本书的厚薄,内容的多少,不仅牵扯到书的定价,也和教学学时有关。

国外都是大部头,但组织教学时内容不一定都讲。

综观国外一些教材,其参考文献和素材往往取自论文和会议文献,而国内教材往往互相引来引去,因而缺乏特色和新意。

2.1.2集成电路布图设计

简称布图设计(LayoutDesign)是指集成电路中多个元件,其中至少有一个是有源元件和其部分或全部集成电路互连的三维配置,或者是为集成电路的制造而准备的这样的三维配置。

②通俗地说,布图设计就是确定用以制造集成电路的电子元件在一个传导材料中的几何图形排列和连接的布局设计。

布图设计是制造集成电路产品中非常重要的一个环节,设计工程师们根据集成电路所要执行的功能设计集成电路的结构。

布图设计是艺术创造力与精密的电子工程技术融合的产物。

在设计中,设计人员借助计算机模拟,把数以千万计的线路组成部分一而再、再而三地调整位置,安排这些线路的组合,使一个芯片中能包含更多的元件,具有更强大的功能,以求生产效率的最大化和芯片体积的最小化。

在早期的集成电路生产中,布图设计被绘制在掩膜上。

掩膜(Mask)如同一张摄影底片,是将要置放到芯片中的线路的底片。

布图设计固定在掩膜上,该掩膜就成为制造芯片的模版,是制造集成电路的中间产品。

这种掩膜也曾是工业间谍千方百计想要窃取的目标。

③随着科技的发展,目前的集成电路布图设计更多的是以编码方式储存于磁盘、磁带等介质生产集成电路已经有些过时了。

2.2传统电子设计

1.从传统的基础层面上讲,电子设计(这里就是指传统电子设计)将有限个电子组件组装连接起来,实现预期的功能性目的,这也是电子设计的传统理念。

自电子设计诞生以来,这种观点作为一种具有可操作性的理念,也基本符合电子设计工艺本身的特性,即便是我们在考虑嵌入式系统及软件定义的功能性等较为新颖的概念时也是适用的。

2.电子产品设计传统理念的特征就是,它本身就只考虑电子产品内部元件。

因此,在创建可实现产品本身差异化的设计过程中,这也是传统电子设计存在的问题。

传统电子设计仅从器件本身去考虑问题,在市场中难以实现可持续的特色化。

这种体验越来越取决于外部互联系统的表现,包括用户自身所处的环境(PC和本地网络)以及外部广域网结构(公司服务器和网络化服务)的表现。

3.以串联稳压电路为代表的线性电源技术在不同的电子时代其具体实现方式由电子管,晶体管过渡到了集成电路,但其基本电路原理却没有本质性的变化,以"通电即开,恒定电压供给"为默认属性来定义电子产品中各个部分的电源供给,已成为传统电子产品设计的潜在规则.随着电子技术,信息技术在人们生活中的不断渗透,为人类服务的电子产品在数量上不断增加,其能量消耗已大大超过了人们生活中照明所用的能源,从另一角度考虑,转换效率非常的低,体积相对现代电子设计的产品的体积较大,重量也相对较大,功率较高。

电源技术是单纯的提供恒定的电压的静态供电模式

4.在实践中,传统电子设计电路系统执行速度慢、提供特性少,组件技术成本高,这使产品场外价格处于市场劣势。

这一趋势就需要提供以电子产品为中心的理念所定义的全新或改进型产品设计方案。

2.3.传统电子的设计流程

一般说来,电子制作的步骤无非是设计电路,采购相应的分立元件和集成电路,在实验电路板上搭成电路进行调试,然后制作和组装印刷线路板,如果电路比较复杂,有五六块甚至十余块集成电路,或者有译码器、存储器、A/D、D/A转换器等,则需要进行比较规范的设计制作。

这种设计制作主要分为两个阶段,即设计、实验阶段和调试鉴定阶段,其流程如图1所示。

在第一阶段,也就是设计、实验阶段,首先进行方案设计和电路设计,根据电路需要采购各种元器件,有时还要制作一些专用的测试仪器。

然后设计、加工印刷电路板(PCB),焊接元器件,再对这块印刷电路板进行试验。

如果有问题,还要对电路进行修改。

这是一个十分繁琐的工作。

如果实验不顺利,元器件不合适,还要去采购其它器件。

在第二阶段,也就是调试鉴定阶段,把实验后确定下来的印刷电路板再行加工,进行电路板的调试和性能检测,对于产品还要进行例行试验。

如果电路复杂,则难于一次成功,有时还得反复修改电路。

如若对构思的电路原理图是否完全正确可行,心中没有充分的把握,还不能冒然通电试验,即使采用了一些限流、限压等保护应急措施,第一次合闸通电时,心中难免有些紧张。

特别是强电,小则瞬间元件冒烟烧毁,大则贵重仪器报废,这是常有的事。

 

电路设计

2.4传统电子设计的优缺点

传统电子电路设计的验正工作很多。

需要按照完成的电子电路设计图的面板或PCB板上进行调试安装,然后再用电源,信号发生器,示波器等各种测试仪表来加以验证。

并且这种做法在制作测试电路板的地程当中,需要花很多的时间。

容易损耗材料。

这样费时又力,而且相当损耗材料。

如果结果有误还要花大量的时间和精力去检查是设计的错误还是制作电路的错误。

这样的做法在早期做小型电路时还可以应付,随着电路设计的规模越来越大。

复杂度越来越高,这种设计的方法也不能再适应现代化设计的需要。

不仅仅如此,在电路板图设计时也是一个相当复杂的过程。

在进行手工设计电路板图时,需要进行元件布局,绘制草图,修改草图,才能绘制出所需要的电路图。

随着电子元件的增多,电路板的尺寸的减小,电路的层数也越来越多,布线就成了相当的难度。

导致已经无法再进行用手工设计了,另外随着元件数量的增多,各元件之间的相互干扰,各元件之间的干扰,耦合也就变得越来越复杂了。

除非电路析设计的师具有相当高的设计经验和理论水平了。

优点:

可以制作小型小路设计,在小型的电路板设计时,元件过少,布局过快。

布线明了。

并且还可以节省时间。

在外形上还有点美观。

第3章EDA的设计

3.1EDA的时代背景

3.1.1.什么是EDA

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为五金|工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度。

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。

在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。

  这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。

这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

3.1.2.EDA的发展阶段

人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。

现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。

前者以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千万个晶体管;后者的核心就是EDA技术。

EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作:

IC设计,电子电路设计以及PCB设计。

没有EDA技术的支持,想要完成上述超大规模集成电路的设计制造是不可想象的,反过来,生产制造技术的不断进步又必将对EDA技术提出新的要求。

回顾近30年电子设计技术的发展历程,可将EDA技术分为三个阶段。

(1)七十年代为CAD阶段,这一阶段人们开始用计算机辅助进行IC版图编辑和PCB布局布线,取代了手工操作,产生了计算机辅助设计的概念。

(2)八十年代为CAE阶段,与CAD相比,除了纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,以实现工程设计,这就是计算机辅助工程的概念。

CAE的主要功能是:

原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。

(3)九十年代为ESDA阶段。

尽管CAD/CAE技术取得了巨大的成功,但并没有把人从繁重的设计工作中彻底解放出来。

在整个设计过程中,自动化和智能化程度还不高,各种EDA软件界面千差万别,学习使用困难,并且互不兼容,直接影响到设计环节间的衔接。

基于以上不足,人们开始追求贯彻整个设计过程的自动化,这就是ESDA即电子系统设计自动化。

从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用文泛、工具多样、软件功能强大。

   中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PC主板和小型ASIC领域,仅有小部分(约11%)的设计人员工发复杂的片上系统器件。

为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要购入一些最新的EDA技术。

   在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。

要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、制造资源计划(MRPII)及企业资源管理(ERP)等。

有条件的企业可开展“网络制造”,便于合作设计、合作制造,参与国内和国际竞争。

开展“数控化”工程和“数字化”工程。

自动化仪表的技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。

在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方向发展。

外设技术与EDA工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。

中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA市场的竞争。

   在EDA软件开发方面,目前主要集中在美国。

但各国也正在努力开发相应的工具。

日本、韩国都有ASIC设计工具,但不对外开放。

中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。

相信在不久的将来会有更多更好的设计工具有各地开花并结果。

据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了50%和30%。

EDA技术发展迅猛,完全可以用日新月异来描述。

EDA技术的应用广泛,现在已涉及到各行各业。

EDA水平不断提高,设计工具趋于完美的地步。

EDA市场日趋成熟,但我国的研发水平沿很有限,需迎头赶上。

3.2EDA的设计流程

1.系统规格制定(DefineSpecification)

在ASIC设计之初,工程师们须根据产品的应用场合,为ASIC设定一些诸如功能、操作速度、接口规格、环境温度及消耗功率等规格,以做为将来电路设计时的依据。

在这方面,目前已有厂商提供系统级仿真器(system-levelsimulator),为系统设计提供不错的解决方案;透过此类仿真器,工程师们可以预估系统的执行效能,并可以最佳化的考量,决定软件模块及硬件模块该如何划分。

除此之外,更可进一步规划哪些功能该整合于ASIC内,哪些功能可以设计在电路板上,以符合最大的经济效能比。

2.设计描述(DesignDescription)

一旦规格制定完成,便依据功能(function)或其它相关考量,将ASIC划分为数个模块(module);此阶段是整个设计过程中最要的关键之一,它直接影响了ASIC内部的架构及各模块间互动的讯号,更间接影响到后续电路合成的效能及未来产品的可靠性。

决定模块之后,便分交由团队的各个工程师,以VHDL或Verilog等硬件描述语言进行设计-亦即功能的行为描述(behavioraldescription);为能明确及有效率地描述模块的内部功能,各模块之下可能再细分成数个子模块(sub-module),直到能以可合成(synthesizible)的语法描述为止。

这种一层层分割模块的设计技巧,便是一般所谓的阶层式设计(hierarchicaldesign);这与早期直接以绘制闸级电路进行设计的时代,所使用的技巧是相类似的。

此一步骤所完成的设计描述,是进入高阶合成电路设计流程的叩门砖;习惯上,称之为硬件描述语言的设计切入点(HDLdesignentry)。

关于此一步骤,亦有相关的辅助工具相继推出。

DesignBook便是其中的代表;它利用一般工程师熟悉的图形接口-如状态图及流程图,协助初接触以硬件描述语言进行设计的工程师,自动编写出相对应的硬件语言描述码。

效能如何笔者不敢断言,但它能依使用者决定,整合惯用之其它EDA工具的特点,倒是满吸引人的地方。

3.功能验证(FunctionVerification)

完成步骤2的设计描述,接下来便是利用VHDL或Verilog的电路仿真器,针对先前的设计描述,验证其功能或时序(timing)是否符合由步骤1所制定的规格。

通常,称这类验证为功能仿真(functionsimulation),或行为仿真(behavioralsimulation),而这类的HDL电路仿真器,则通称为行为仿真器(behavioralsimulator)。

对于这一类功能验证的仿真而言,仿真器并不会考虑实际逻辑闸或联机(connenctwires)所造成的时间延迟(timedelay)、闸延迟(gatedelay)及传递延迟(transportdelay)。

取而代之的是,使用单一延迟(unitdelay)的数学模型,来粗略估测电路的逻辑行为;虽然如此无法获得精确的结果,但其所提供的信息,已足够作为工程师,针对电路功能的设计除错之用。

为了能顺利完成仿真,在此,您还需要准备一分称为测试平台(testbench)的HDL描述?

在这份测试平台的描述档中,必须尽可能地细描述所有可能影响您设计功能的输入讯号组合,以便激发出错误的设计描述位于何处。

幸运的话,或许在几次修改之后,就可得到您想要的结果,顺利进入下一个步骤。

4.逻辑电路合成(Logicsynthesis)

确定设计描述之功能无误之后,便可藉由合成器(synthesizer)进行电路合成。

合成过程中,您必须选择适当的逻辑闸组件库(logiccelllibrary),作为合成逻辑电路时的参考依据。

组件库的取得,可能直接来自于您的ASIC供货商(ASICvendor,负责协助客户设计ASIC的厂商)、购自其它组件库供货商(third-partyASIClibraryvendor),或是为了某种特殊原因,您亦可能考虑自行建立。

事实上,组件库内含的逻辑闸信息非常广泛,大致上包括了以下各项。

cellschematic,用于电路合成,以便产生逻辑电路的网络列表(netlist)。

timingmodel,描述各逻辑闸精确的时序模型;组件工程师会萃取各逻辑闸内的寄生电阻及电容进行仿真,进而建立各逻辑闸的实际延迟参数。

其中包括闸延迟(gatedelay)、输出入的延迟(inputdelay/outputdelay)及所谓的联机延迟(wiredelay)等;这在进入逻辑闸层次的电路仿真,以及在P&R之后的仿真都会使用到它。

routingmodel,描述各逻辑闸在进行绕线时的限制,作为绕线工具的参考资料。

siliconphysicallayout,在制作ASIC的光罩(mask)时会使用到它。

使用合成器有几个需要注意的事项,其一就是最佳化(optimize)的设定。

根据步骤1所制定的规格,工程师可对合成器下达一连串限制条件(constrain),根据这些条件,合成器便会自动合成满足您规格要求的逻辑电路。

最常见的三个限制条件(注3)有:

操作速度、逻辑闸数及功率消耗。

事实上,这三项限制条件之间是呈现互相矛盾的关系;也就是说:

一旦您所下的限制条件太过严苛,将使电路合成的速度变得非常的慢,更甚者,有可能在花费大把时间后,仍得不到您想要的结果。

designentry硬件语言设计描述文件,其语法的编写风格(HDLcodingstyle),亦是决定合成器执行效能的另一个因素。

事实上,无论是对VHDL或是Verilog而言,合成器所支持的HDL语法均是有限的;过于抽象的语法只适用于编写celllibrary,或是做为系统规划评估时的仿真模型所用,而不为合成器所接受。

此外,由于一般合成器的最佳化算法则,都只能达到区域性最佳化(localoptima);因此,对于过分刁钻的语法描述,将影响合成器在最佳化过程的执行时间。

5.逻辑门层次的电路功能验证(Gate-LevelNetlistVerification)

由合成器产生的netlist,会在这个阶段进行第二次的电路仿真;一般称之为逻辑闸层次的电路功能验证,或称为P&R前的仿真,简称前段仿真(pre-simulation)。

在此阶段,主要的工作是要确认,经由合成器所合成的电路,是否如同原始的设计描述般,符合您的功能需求;利用逻辑闸层次仿真器(gate-levelsimulator),配合在功能验证时已经建立的testbench,便可达到这个目的。

这里出

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 医学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1