基于的序列信号发生器分析和设计.docx

上传人:b****1 文档编号:748798 上传时间:2022-10-12 格式:DOCX 页数:13 大小:355.77KB
下载 相关 举报
基于的序列信号发生器分析和设计.docx_第1页
第1页 / 共13页
基于的序列信号发生器分析和设计.docx_第2页
第2页 / 共13页
基于的序列信号发生器分析和设计.docx_第3页
第3页 / 共13页
基于的序列信号发生器分析和设计.docx_第4页
第4页 / 共13页
基于的序列信号发生器分析和设计.docx_第5页
第5页 / 共13页
点击查看更多>>
下载资源
资源描述

基于的序列信号发生器分析和设计.docx

《基于的序列信号发生器分析和设计.docx》由会员分享,可在线阅读,更多相关《基于的序列信号发生器分析和设计.docx(13页珍藏版)》请在冰豆网上搜索。

基于的序列信号发生器分析和设计.docx

基于的序列信号发生器分析和设计

前言

在当今电子设计领域,EDA设计和仿真是一个十分重要的设计环节。

在众多的EDA设计和仿真软件中,EWB软件以其强大的仿真设计应用功能,在各高校电信类专业电子电路的仿真和设计中得到了较广泛的应用。

EWB软件及其相关库包的应用对提高学生的仿真设计能力,更新设计理念有较大的好处。

EWB(电子工作平台)软件,最突出的特点是用户界面友好,各类器件和集成芯片丰富,尤其是其直观的虚拟仪表是EWB软件的一大特色。

它采用直观的图形界面创建电路:

在计算机屏幕上模仿真实实验室的工作台,绘制电路图需要的元器件、电路仿真需要的测试仪器均可直接从屏幕上选取。

EWB软件所包含的虚拟仪表有:

示波器,万用表,函数发生器,波特图图示仪,失真度分析仪,频谱分析仪,逻辑分析仪,网络分析仪等。

这些仪器的使用使仿真分析的操作更符合平时实验的习惯。

本次毕业设计主要是利用EWB软件来设计和仿真序列信号发生器,并结合理论进一步验证序列信号发生器的实际作用。

 

1EWB软件的简介

1.1EWB软件的概述

随着电子技术和计算机技术的发展,电子产品已与计算机紧密相连,电子产品的智能化日益完善,电路的集成度越来越高,而产品的更新周期却越来越短。

电子设计自动化(EDA)技术,使得电子线路的设计人员能在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至印刷电路板的自动设计。

EDA是在计算机辅助设计(CAD)技术的基础上发展起来的计算机设计软件系统。

与早期的CAD软件相比,EDA软件的自动化程度更高、功能更完善、运行速度更快,而且操作界面友善,有良好的数据开放性和互换性。

  电子工作平台ElectronicsWorkbench(EWB)(现称为MultiSim)软件是加拿大InteractiveImageTechnologies公司于八十年代末、九十年代初推出的电子电路仿真的虚拟电子工作台软件,它具有这样一些特点:

(1)软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。

(2)EWB软件带有丰富的电路元件库,提供多种电路分析方法。

(3)作为设计工具,它可以同其它流行的电路分析、设计和制板软件交换数据。

(4)EWB还是一个优秀的电子技术训练工具,利用它提供的虚拟仪器可以用比实验室中更灵活的方式进行电路实验,仿真电路的实际运行情况,熟悉常用电子仪器测量方法。

1.2EWB软件的操作界面

1.EWB的主窗口

2.元件库栏

信号源库

基本器件库二极管库

模拟集成电路库仪器库

指示器件库

1.3EWB软件基本操作方法介绍

EWB软件具体操作方法很细,就常用仪器举例

(1)数字多用表

数字多用表的量程可以自动调整。

下图是其图标和面板。

(2)示波器

示波器为双踪模拟式,其图标和面板如下图所示。

(3)信号发生器

信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。

可调节方波和三角波的占空比。

(4)波特图仪

  波特图仪类似于实验室的扫频仪,可以用来测量和显示电路的幅度频率特性和相位频率特性。

波特图仪的图标和面板如下图所示。

  

波特图仪有IN和OUT两对端口,分别接电路的输入端和输出端。

每对端口从左到右分别为+V端和-V端,其中IN端口的+V端和-V端分别接电路输入端的正端和负端,OUT端口的+V端和-V端分别接电路输出端的正端和负端。

此外在使用波特图仪时,必须在电路的输入端接入AC(交流)信号源,但对其信号频率的设定并无特殊要求,频率测量的范围由波特图仪的参数设置决定。

2序列信号发生器原理

序列信号发生器是能够循环产生一组或多组序列信号的时序电路,它可以用以为寄存器或计数器构成。

序列信号的种类很多,按照序列循环长度M和触发器数目n的关系一般可分为三种:

(1)最大循环长度序列码,M=2n。

(2)最大线性序列码(m序列码),M=2n-1。

(3)任意循环长度序列码,M<2n。

2.1序列信号发生器的设计

通常在许多情况下,要求按照给定的序列信号来设计序列信号发生器。

序列信号发生器一般有两种结构形式:

一种是反馈移位型,另一种是计数型。

1.反馈移位型序列信号发生器

反馈移位型序列码发生器的结构框图如图所示,它由移位寄存器和组合反馈网络组成,从移存器的某一输出端可以得到周期性的序列码。

其设计按以下步骤进行:

(1)根据给定序列信号的循环长度M,确定移存器位数n,2n-1<M≤2n。

(2)确定移位寄存器的M个独立状态。

将给定的序列码按照移位规律n位一组,划分位M个状态。

若M个状态中出现重复现象,则应增加移存器位数。

用n+1位再重复上述过程,直到划分为M个独立状态为止。

 

反馈移位型序列信号发生器框图

(3)根据M个不同状态列出移存气的状态表和反馈函数表,求出反馈函数F的表式。

(4)检查自启动性能(5)画逻辑图。

下面通过举例说明反馈移位序列信号发生器的设计过程。

例子一:

比如要设计一个00011101序列发生器。

具体可以这样来解决:

(1)确定移存器的位数n。

因M=8,故n≥3,选定为三位,用74LS194的三位。

(2)确定移存器的八个独立状态。

将序列码00011101按照每三位一组,划分为八个状态,其迁移关系如下所示:

(3)作出反馈函数表,如表所示,由迁移关系可看出移存器只进行左移操作,因此S1=1,S0=0。

将F(SL)的卡诺图填入图(a)中,选用四选一实现F(SL)函数,其逻辑图如图(b)所示。

反馈函数表

 

00011101序列信号发生器

例子二:

设计一个产生100111序列的反馈移位型序列信号发生器。

具体解决方法:

(1)确定移存器位数n。

因M=6,故n≥3;

(2)确定移存器的六个独立状态:

将序列码100111按照移位规律每三位一组,划分六个状态为100、001、011、111、111、110。

其中状态111重复出现,故取n=4,并重新划分六个独立状态为1001、0011、0111、1111、1110、1100。

因此确定n=4,用一片74LS194即可。

(3)反馈激励函数表,求反馈函数F的表达式:

根据每一状态所需要的移位输入即反馈输入信号,列出反馈函数表如表所示。

从表中可见,移存器只需进行左移操作,因此反馈函数F=SL。

表也表明了组合反馈网络的输出和输入之间的函数关系,因此可填出F的卡诺图如图(a)所示,并求得:

 

反馈函数表

(4)检查自启动性能。

根据以上结果,作出完全状态图如图(b)所示。

可见,它有一个无效循环。

为了使电路具有自启动性能,应重新修改设计。

其思路就是打破无效循环,引入主有效循环,将0110→1100,0010→0100,其完全状态图如图(a)所示卡诺图的圈如图(b)所示,求得F=Q2+Q0Q3。

如选用四选一数据选折器实现地址选Q0Q2=A1A0,得D0=1,D1=Q3,D2=1,D3=0,则具有自启动能力的电路如图所示。

 

卡诺图和移存器的全状态图

 

修正后的全状态图和F的卡诺图

 

 

逻辑电路图

2.计数型序列码发生器

计数型序列码发生器结构框图如图所示。

它由计数器和组合输出网络两部分组成,序列码从组合输出网络输出。

设计过程分两步:

(1)根据序列码的长度M设计模M计数器,状态可以自定;

(2)

按计数器的状态转移关系和序列码的要求设计组合输出网络。

由于计数器的状态设置和输出序列的更改比较方便,而且还能同时产生多组序列码。

下面以具体实例来说明:

例子一:

设计1101000101序列信号发生器。

具体解决办法:

由于给定序列长度P=10,故先用74LS161设计一个模10的计数器,我们利用74LS161的预置端LD,用后10个状态,即0110~1111。

令该10个状态中每一个状态的输出符合给定序列的要求,列出其真值表如表所示,对应的输出卡诺图如图(a)所示。

采用八选一数据选择器实现,电路如图(b)所示。

真值表

 

设计过程及逻辑图

例子二:

设计一个能同时产生两组代码的信号发生器,这两组代码分别是:

F1=110101和F2=010110。

具体解决办法:

首先用74LS194设计一个具有自校正的模6扭环型计数器如图(a)所示,并画出输出序列卡诺图如图(b)所示。

然后用一片3-8译码器和与非门实现输出组合逻辑。

最后画出逻辑图如图(c)所示。

设计过程及逻辑图

 

2.2m序列码发生器

m序列码也称伪随机序列码,其主要特点是:

(1)每个周期中,“1”码出现2n-1次,“0”码出现2n-1-1次,即0、1出现概率几乎相等。

(2)序列中连1的数目是n,连0的数目是n-1。

(3)分布无规律,具有与白噪声相似的伪随机特性。

由于具有这些特点,m序列码在通信、雷达、系统可靠性测试等方面获得了广泛地应用。

m序列码发生器是一种反馈移位型结构的电路,它由n位移位寄存器加异或反馈网络组成,其序列长度M=2n-1,只有一个多余状态即全0状态,所以称为最大线性序列码发生器。

由于其结构已定型,且反馈函数和连接形式都有一定的规律,因此利用查表的方式就可以设计出m序列码。

列出部分m序列码的反馈函数F和移存器位数n的对应关系。

如果给定一个序列信号长度M,则根据M=2n-1求出n,由n查表便可以得到相应的反馈函数F。

m序列反馈函数表

例如,要产生M=7的m序列码,首先根据M=2n-1,确定n=3,再查表可得反馈函数(即74LS194的)。

但由于电路处于全0状态时,F=0,故采用此方法设计的m序列发生器不具有自启动特性。

为了使电路具有自启动特性可以采取两种方法:

(1)在反馈方程中加全0校正项Q1Q2Q3,F=Q1⊕Q3+Q1Q2Q3=Q1⊕Q2

+Q1+Q2+Q3,其逻辑电路如图(a)所示。

 

M=7的m序列码发生器

(a)加全0校正项;(b)利用全0状态置数

(2)利用全0状态重新置数,从而实现自启动,其逻辑电路如图(b)所示该电路输出的m序列码为0011101。

3序列信号发生器的EWB设计和仿真实现

3.1电路基本原理

序列信号发生器的功能是能够产生序列信号,即一组特定的串行数字信号。

序列信号发生器构成的方法有多种,其中一种是用计数器和数据选择构成。

计数器的状态输出接数据选择器的地址输入,需要输出的序列信号送至选择器的数据输入端。

当计数器的时钟信号连续输入时,所需序列信号将会一次从数据选择器输出端输出。

3.2EWB操作步骤

(1)用四位二进制同步计数器74163和八选一数据选择器74151构成序列信号发生器实验电路。

计数器的状态输出端QC、QB、QA接在数据选择器的地址输入端C、B、A,需要输出的序列信号00010111接至数据选择器的数据输入端。

计数器的输入信号由时钟提供,频率取0.5Hz。

计数器的状态由译码显示器监视,数据选择器输出用逻辑探针监视。

(2)打开仿真开关,在连续脉冲的作用下,参照译码显示器的数字变化,观察计数器状态与输出关系。

3.3仿真数据及结论

序列信号发生器实验电路如图所示。

在连续脉冲的作用下,电路输出循环产生串行数据00010111。

仿真电路图

 

仿真效果图

4感谢

感谢浙江万里学院给我提供这次的实践机会,感谢所有在我做毕业设计中给予我帮助的所有老师和同学,特别是指导我毕业设计的钱裕禄老师,钱老师治学严谨、务实求新、平易近人、对教学言传身教,再次感谢钱裕禄给我的毕业设计给予的帮助和意见,感谢老师和同学给我毕业设计提供的资料,是你们帮助我更好的完成设计,让我感到的老师和同学

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 医学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1