电子计时器.docx

上传人:b****6 文档编号:6518910 上传时间:2023-01-07 格式:DOCX 页数:12 大小:401.89KB
下载 相关 举报
电子计时器.docx_第1页
第1页 / 共12页
电子计时器.docx_第2页
第2页 / 共12页
电子计时器.docx_第3页
第3页 / 共12页
电子计时器.docx_第4页
第4页 / 共12页
电子计时器.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

电子计时器.docx

《电子计时器.docx》由会员分享,可在线阅读,更多相关《电子计时器.docx(12页珍藏版)》请在冰豆网上搜索。

电子计时器.docx

电子计时器

电子计时器

一、实验内容及要求

设计内容简介:

在单元电路的基础上进行设计一个数字计时器,完成0分00秒~59分59秒的计时功能,并具有清零、分钟校分、整点报时功能。

设计要求:

1、设计一个脉冲发生电路,为计时器提供秒脉冲、为报时电路提供驱动蜂鸣器的脉冲信号。

2、设计计时电路,完成0分00秒~59分59秒的计时功能。

3、设计报时电路,使数字计时器从59分53秒开始报时发一声低音59分55秒59分57秒各一声低音59分59秒发一声高音。

低音频率为500Hz,高音频率为1000Hz。

4、设计校分电路,使其能够在任何时刻拨动校分开关进行快速校分。

5、设计清零电路,具有清零功能,在任何时刻,拨动开关能够进行计时器清零。

6、将脉冲电路,计时电路,报时电路,校分电路,清零电路级联,调试,完成计时器所有功能。

二、基本电路原理

原理框图如下:

 

 

说明:

脉冲发生电路

脉冲发生电路是为计时器提供计数脉冲的,需要产生1Hz的脉冲信号。

采用NE555集成电路和分频器CD4040构成。

计时电路

计时电路是使用1Hz脉冲为时钟信号的计数器,采用二-十进制加法计数器CD4518实现。

当59秒即将变为60秒时向分位进一位,分和秒的个位、十位分别在七段数码显示器上显示出来,从0分0秒到59分59秒,然后重新清零计数。

译码显示电路

译码显示可以采用CD4511来驱动共阴极显示器。

CD4511与数码显示器通过300欧电阻连接,将分和秒的个位、十位分别在七段数码显示器上显示出来。

报时电路

报时电路从59分53秒开始报时,每隔一秒发一声,共三声低音、一声高音,59分53秒、59分55秒、59分57秒为低音,59分59秒为高音。

需要在某一时刻报时,该时刻输出为“1”的信号将作为触发信号,选通报时脉冲信号,进行报时即可,报时

校分电路

电路中设计一个校分开关,当开关打到高电位即“正常”档时,计数器可以正常计数;当开关打到低电位即“校分”档时,分计数器可以快速校分,而不受秒计数器的进位信号控制,校分停秒。

选一个频率较快的校分信号进行校分,在任何时候,拨动校分开关,便可以进行快速校分。

清零电路

电路中存在一个清零开关,当开关打到高电位即“正常”档时,电路可以正常进行计数。

当开关打到低电位即“清零”档时,可以在任何时刻进行计数器的清零。

三、各电路的逻辑设计

1、脉冲发生电路

脉冲发生电路为计时器提供计数脉冲,需要产生1Hz的脉冲信号。

采用NE555集成电路和分频器CD4040构成脉冲发生器。

NE555定时器构成多谐振荡器,波形稳定,上升沿和下降沿小,振幅大,占空比可调,而后通过CD4040k可以输出20~211Hz几种频率供后面使用。

电路图:

2、计时电路

计时电路采用二-十进制加法计数器由CD4518实现。

60秒为1分,将分秒的个位、十位分别在七段数码显示器上显示出来,从0分0秒到59分59秒,然后重新计数。

CD4518逻辑功能表如下:

输入

输出

CR

CP

EN

Q3

Q2

Q1

Q0

清零

1

×

×

0

0

0

0

计数

0

1

BCD码加法计数

保持

0

×

0

保持

计数

0

0

BCD码加法计数

保持

0

1

×

保持

于是,当CR端输入0,EN端为1且CP端输入时钟信号或者EN端输入时钟信号而CP端为0时,计数器进行计数。

输出端Q3Q2Q1Q0输出从0000到1001的循环。

当使用其作为分和秒的个位进行计数时不需对其进行反馈清零,但是进行分和秒的十位计数时,需要在Q3Q2Q1Q0输出0110时进行清零(因为CD4518是异步清零)。

电路图:

接清零信号1,,

接清零信号2,

接校分信号的总输出信号,

接校分保秒信号

3、校分电路

校分电路设计有一个开关,当开关打到高电位档时,计数器正常计数;当开关打到低电位档时,分计数器进行快速校分(即分计数器可以不受秒计数器的进位信号控制,而通过2Hz频率的校分信号进行校分),而秒计数器保持。

在任何时候,拨动校分开关,可以进行快速校分。

采用74LS74D触发器,由于D触发器的输出端只在时钟的上升沿变化,而其他时刻保持上一次的电平,故可以用其构成防颤抖电路,在校分电路中有其应用。

电路图:

分个位EN

2Hz

秒十位进位

2Hz

5V

其中输出端直接与分计时器的个位EN端相连接。

正常计时状态下,开关连接高电平,此时Q端输出高电平,总输出端的信号与秒的十位进位信号相同。

当开关连接低电平时,Q端输出低电平,总输出端输出信号为2Hz的时钟信号。

此电路防颤抖的原理在于:

当开关在两种状态之间转换时,由于机械振动,在很短的时间中(常为几毫秒)会在高低电平之间来回波动,相应的产生几个上升沿。

如果直接将开关的输出端直接连接至分个位的时钟的话,这些上升沿将导致它瞬间跳变几个数值。

然而在加上D触发器之后,由于在没有时钟上升沿的时候,输出信号保持,而其时钟频率相对与颤抖频率是很小的,也就是说在开关颤抖过程中触发器的输出是不变的,从而避免了分计数器数值的跳变。

4、清零电路

清零电路为了考虑到防抖动,因此在这里也采用74LS74D触发器来实现。

电路图:

清零信号1

清零信号2

5V

2Hz

正常状态下,开关打在高电平,电路正常工作。

当需要清零时,打到低电平位置,Q端输出低电平,秒和分的十位得以清零。

输出高电平,直接输入到4518的Cr端。

根据CD4518的功能表当Cr端为高电平时,可以进行清零。

所以秒和分的个位得以清零。

5、译码显示电路

CD4511功能表如下:

根据CD4511的逻辑功能表可知,当

输入为1而输入为0时其7个输出端分别输出一定的信号。

只需将这些信号接入8段数码管相对应的引脚即可使其显示所需要的数字。

要在每个CD4511的输出端和数码管相应的输入端之间接入一个阻值为300Ω的电阻以防电流过大使数码管烧毁。

电路图:

5V

6、报时电路

电路从59分53秒开始报时,每隔一秒发一声,共三声低音、一声高音。

即59分53秒、59分55秒、59分57秒为低音,59分59秒为高音。

实际上,需要在某一时刻报时,就将该时刻输出为“1”的信号作为触发信号,选通报时脉冲信号,进行报时即可。

对于这一要求,我们可以列一张表来形象的看出这一性质:

时刻

分十位

分个位

秒十位

秒个位

音高

频率

m8m7m6m5

m4m3m2m1

s8s7s6s5

s4s3s2s1

59分53秒

0101

1001

0101

0011

约500Hz

59分55秒

0101

1001

0101

0101

约500Hz

59分57秒

0101

1001

0101

0111

约500Hz

59分59秒

0101

1001

0101

1001

约1000Hz

对于分的十位个位和秒的十位,在鸣响的时候给出的信号应该是一样的。

所以公示中有共同项m7m5m4m1s7s5,剩下的就是考虑秒个位的区别在s1为1时,s3,s2中有一个为1即发出500Hz的低声鸣响,在s4为1时发出1000Hz的高声鸣响。

因此,总结得出公式为:

F

,其中F为最后要传到扬声器中的信号,f3为500Hz信号,f4为1kHz的信号。

电路图:

m7

m5

m4

m1

s7

s7

s1

f4

s4

s3

f3

s2

四、实验元器件

NE555*1

CD4040*1

CD4518*2

CD4511*4

74LS00*3

74LS20*1

74LS21*2

74LS74*1

0.047μF电容*1

1kΩ电阻*1

3KΩ电阻*1

3000Ω电阻*28

数码管*4

蜂鸣器*1

开关*2

五、实验电路逻辑总图

六、实验总结与体会

通过这次的实验经历,对自己做实验的总体感受是必须要事前预习,必须熟悉电路图的每一部分,要对电路图的各个细节了解清楚才不会在实验中手忙脚乱的。

在对计时器设计时对各种功能进行分析,并且结合数电所学知识根据所给的元器件设计要求认真分析电路的功能和原理。

这是才是进行以后实验的基础。

实验时,电路元器件的排布应该合理,实验线路连接要有层次,有条理。

电源,地线首先搭好,分电路先连好,并进行调试,检查线路。

连线长短要合适,尽量避免长线交叉,也为检查,拆线带来方便,在实验中我因为元器件的排布不合理而不得不使用长线来连接导致最后检查时出现了奇怪的错误。

在安装译码显示电路时,我的秒十位个位显示均少一段,经检查后我的秒十位所连电阻为1000欧,因电阻太大导致显示不明显,而秒个位所出问题不在电阻也不在元器件CD4511上,而是显示译码管本身管教问题,终于找到问题关键。

有此次经历提醒我实验前应该仔细检查元器件,若是实验后在找是很难完成的。

28个电阻排放时也要注意位置的合理性,这样可使实验电路显得美观合理,也可以减少干扰的可能性。

连接计数器电路时难倒了我,由于之前准备时间太短,自己画的电路图不仔细,只是简单的考虑到清零的问题而没考虑到进位的问题,在连线时时一团乱,经同学指导,自己再正确的分析好进位的特点,以及与清零电路,校分电路的关系,从新画图,终于解决了计时电路的问题。

连接报时电路时,因为之前没有很认真的考虑元器件排布问题,于是在连报时电路时,不得不使用长线来连接,使我原本还算合理美观的电路变得乱七八糟的。

因为要设计到正好叫四次,而且有一定的间隔和音调,经过我调试却多多叫了一次,而此时我的电路很乱,很让人头疼,所以检查起来也不是那么容易的事,出现了59分43秒,45秒,47秒,49秒的报时现象,或者时根本不报时,我自己分析可能是我拔了线后因为电路实在太乱了插错了,使线路乱了,因为这个问题我前后调试了接近一个小时,终于让我完成了。

在最后检查校分时我的校分电路会出现校分清零而不是校分停秒的现象,也就是说我离成功完成还有一步,只要解决了这个问题我的电子计时器就完成了。

检查电路,管脚没有问题,元器件也没有问题,既然没有问题为什么会出现这种现象,我开始怀疑我的电路图的正确性,果然,与几个同学交流了一下电路图,我在我电路图上的标注没有写清楚,终于解决了这最后的问题,我的电子计时器终于完成了。

回顾整个实验令我感触最深的就是实验中发现问题,解决问题的这一过程。

在理论知识的准备中,发现自己对许多曾经学过的知识理解不深,造成了在实际应用时的难度;同时,在实际的操作过程中,尤其是电路连接中不仅需要我们有较强的动手能力,更需要科学研究不可缺少的细心与耐力。

除了自己的思考之外,与其他同学的交流与探往往可以提供新的思路避免钻牛角尖往往能使问题迎刃而解。

虽然试验中遇到了很多问题困扰着我,但是克服困难的整个过程不能不说是一种快乐的享受。

 

多功能数字计时器设计

 

学院:

自动化学院

姓名:

薛苏燕

学号:

1010190113

指导老师:

钟德荣

 

2012年9月6日

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 初中教育 > 学科竞赛

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1