1位ALU运算单元设计.docx

上传人:b****5 文档编号:6360361 上传时间:2023-01-05 格式:DOCX 页数:8 大小:281.29KB
下载 相关 举报
1位ALU运算单元设计.docx_第1页
第1页 / 共8页
1位ALU运算单元设计.docx_第2页
第2页 / 共8页
1位ALU运算单元设计.docx_第3页
第3页 / 共8页
1位ALU运算单元设计.docx_第4页
第4页 / 共8页
1位ALU运算单元设计.docx_第5页
第5页 / 共8页
点击查看更多>>
下载资源
资源描述

1位ALU运算单元设计.docx

《1位ALU运算单元设计.docx》由会员分享,可在线阅读,更多相关《1位ALU运算单元设计.docx(8页珍藏版)》请在冰豆网上搜索。

1位ALU运算单元设计.docx

1位ALU运算单元设计

《集成电路设计实践》报告

 

题目:

1位ALU运算单元设计

院系:

自动化学院电子工程系

专业班级:

微电

学生学号:

9

学生姓名:

指导教师姓名:

职称:

讲师

起止时间:

2015-1-5--2015-1-14

成绩:

 

一、设计任务

1、依据教材P195表9.4中1位ALU运算单元所需完成的功能,给出电路图设计,完成1位ALU运算单元由电路图到晶体管级的转化(需提出至少2种方案);

2、绘制原理图(Sedit),完成电路特性模(Tspice,瞬态特性),给出该寄存器的建立和保持时间;

3、遵循设计规则完成晶体管级电路图的版图,流程如下:

版图布局规划-基本单元绘制-功能块的绘制-布线规划-总体版图);

4、版图检查与验证(DRC检查);

5、针对自己画的版图,给出实现该电路的工艺流程图。

二、电路设计方案的确定

ALU指既能进行算术运算,又能进行逻辑运算的逻辑单元,一般ALU都有2个数据输入,以及操作类型输入口,一个数据输出,以及进位、大于、等于、溢出等逻辑输出。

再由ALU的功能可得如下的电路图及真值表,由电路图可推出Y输出端的输出结果,如下图公式所示,进而由真值表和公式可推出Y的输出波形,因为该电路为传输门电路,设计简单、版图易于制作,且能较好的实现ALU电路要求,故而选择该电路作为设计电路。

 

而下面电路为静态逻辑门电路,电路为CMOS实现功能,电路规模较大,工作量大,版图布局规模较大,相比之下,选择传输门电路实现1位ALU算数逻辑运算单元实现功能。

三、电路特性及其仿真

首先用S-Edit软件画出电路的模拟图,然后检查所画电路是否存在错误,并标注各个管子的尺寸,检查无误后点击T-Spice按钮出现电路的网表图,然后给检测出的电路网表加上电源和输入信号,检查添加无误后进行电路模拟仿真,得到电路的模拟仿真图像。

电路图如下:

网表:

仿真图:

结果:

根据仿真得到的电路特性曲线与设计电路的要求对比可知,所模拟的电路符合设计的ALU要求,且能实现ALU的功能,故模拟的电路可以使用。

四、版图的布局规划及基本单元设计

根据前面的所涉及的模拟电路可知,对于一个基本的ALU,需要两个pmos和十个nmos结构,有mos组成的反相器单元,有nmos传输门单元,管子之间有漏极相连,也有的栅极相连,制作版图时采用L-Edit软件,并采用0.35um工艺,在制作版图时需注意所有光刻孔的几何尺寸都必须大于或等于最小距离。

版图如下:

反相器版图如下:

五、给出实现该电路制造的工艺流程

首先,n阱CMOS工艺流程:

选择衬底---n阱光刻---有源区光刻---多晶硅光刻---n+区光刻----p+区光刻---光刻接触孔---金属化内连线---光刻钝化孔,便得到了反相器;

其次,将nmos与pmos合理布局;

最后,将其用金属按照电路要求连接起来,做钝化和封装处理;

六、总结

集成电路的设计流程主要有:

电路图的确定、电路图模拟及仿真、电路板图设计、版图与原理图对比、后仿真;电路模拟及仿真时,需要注意电路的连接是否符合原理图要求,仿真时需要注意所加的信号是否能够达到电路的实际要求,版图设计时,需要注意版图的布局,工艺要求及其间距的最小要求,而芯片尺寸尽可能小,版图与原理图对比和后仿真时要求电路图和版图中管子的尺寸基本一致,否则仿真结果无意义。

七、设计成果汇总

版图网表信息:

版图网表与电路图网表的对比:

版图仿真:

版图信息表格:

电路单元类型

晶体管数目

版图尺寸(不含PAD)

版图尺寸(含PAD)

设计结构(层次化orFlatten)

备注

ALU

12

29.30um*25.50um

33.05um*28.95um

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 初中教育 > 科学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1