图解Quartus的使用方法.docx

上传人:b****5 文档编号:6195186 上传时间:2023-01-04 格式:DOCX 页数:15 大小:1.29MB
下载 相关 举报
图解Quartus的使用方法.docx_第1页
第1页 / 共15页
图解Quartus的使用方法.docx_第2页
第2页 / 共15页
图解Quartus的使用方法.docx_第3页
第3页 / 共15页
图解Quartus的使用方法.docx_第4页
第4页 / 共15页
图解Quartus的使用方法.docx_第5页
第5页 / 共15页
点击查看更多>>
下载资源
资源描述

图解Quartus的使用方法.docx

《图解Quartus的使用方法.docx》由会员分享,可在线阅读,更多相关《图解Quartus的使用方法.docx(15页珍藏版)》请在冰豆网上搜索。

图解Quartus的使用方法.docx

图解Quartus的使用方法

Quartus的使用方法——从建工程到电路板下载全过程

以模4计数器为例:

1)打开Quartus,界面如下:

2)创建工程,点击File—>NewProjectWizard

跳过第一个默认的界面,直接进入第二个:

注意工程文件名与顶层实体名相同,这在写VHD文件时尤其要注意,在定义entity时名字必须与工程名一致,否则会报错。

下一步需要向工程里添加文件和库,我们现在不需要,暂时直接跳过。

3)选择器件

这个器件的选择不能臆想,其实仔细看电板上的芯片就可以看到相应的型号。

一定要根据实际情况选择,否则仿真时会出现问题。

当然如果创建工程时没有选择也没有关系,到时候可以在device里面选择或者更改。

下一步基本上也是默认,直接next。

4)信息确认

点击finish即可创建工程,如果发现信息需要修改,可以点击back。

5)创建设计文件

点击File—>New,选择BlockDiagram/SchematicFile,单击OK。

弹出空白的编辑界面

6)在空白处双击,在弹出的原件对话框中的Name里直接输入74161,或者可以根据上面的library属性图一级级的查找。

如果熟悉的话还是直接输入器件名称快。

单击OK,或者直接输入enter即可选中原件,然后在适当位置单击鼠标即可安置原件。

7)连接好的电路图如下

注意这里有一个命名技巧:

注意到右上角的输出线比其他线要粗,这是Busline表示单向总线,而一般的细线叫Line。

选中该output,单击右键,在properties中的General中根据提示命名,比如这里的q[1..0]。

表明有两根线分别是q[0]和q[1]。

当然需指定这个总线在电路中是哪几根线,上图中是指从74161到与非门的输出线,所以要分别选中,并为之赋予相应的名字。

如果省略这一步,将会提示该q[1..0]没有指定,编译都不通过。

8)保存文件File—>save,注意文件名与工程名要相同,即不改变默认名即可。

9)编译,可以在Processing—>CompilerTool的全编译窗口中编译,也可以单个编译,还可以直接点击菜单栏上的快捷方式:

其中第一个是全编译,后面是单独就某一项编译。

10)建立波形文件

File—>new选择VectorwaveformFile

11)添加节点:

点击Edit—>Insert—>InsertNodeorBus,或者直接双击左边空白处。

单击NodeFinder...

在Filter中选择Pins:

all,单击List。

并选择需要的到右边

我们选择的是总线和时钟。

单击OK,返回前一界面

在Radix中选择binary,即可单击OK。

完成后如下:

12)设置参数

选中clk,单击右边的时钟图标

,设置后如下:

13)在Processing—>GenerateFunctionalSimulationNetlist生成网表。

在Assignment—>Settings中选择电路仿真是逻辑的还是时序的。

在右侧的simulationmode选择Function或者Timing。

然后选择Processing—>StartSimulation启动仿真。

这是逻辑仿真。

这是时序仿真。

14)现在是最关键的一步了即将程序下载到电路板上测试。

如果是第一次使用电路板可能要手动为电脑安装驱动,方法如下:

在Assignment—>Devices中选择器件

在Assignment—>Pin或者在Assignment—>PinPlanner中分配管脚。

在location中的下拉列表中为信号锁定目标管脚。

完成后可关闭界面,但是切记此事要再次全编译,否则仿真时会出错。

15)程序下载

选择Tools—>programmer,进入下载窗口

在HardwareSetup中完成如下配置

完成并关闭此界面后,点击Start,即完成。

16)如果是以VHDL代码的方式完成电路设计,只用在File—>New中选择VHDLFile即可。

后面的工作都是类似的。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高中教育 > 高中教育

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1