数字电路课程设计.docx

上传人:b****5 文档编号:6138521 上传时间:2023-01-04 格式:DOCX 页数:24 大小:761.38KB
下载 相关 举报
数字电路课程设计.docx_第1页
第1页 / 共24页
数字电路课程设计.docx_第2页
第2页 / 共24页
数字电路课程设计.docx_第3页
第3页 / 共24页
数字电路课程设计.docx_第4页
第4页 / 共24页
数字电路课程设计.docx_第5页
第5页 / 共24页
点击查看更多>>
下载资源
资源描述

数字电路课程设计.docx

《数字电路课程设计.docx》由会员分享,可在线阅读,更多相关《数字电路课程设计.docx(24页珍藏版)》请在冰豆网上搜索。

数字电路课程设计.docx

数字电路课程设计

课程设计任务书

学院:

水电学院

课程名称:

数字电路

题目名称:

篮球30秒倒计时器

专业:

发电厂及电力系统

班级:

一班

学号:

103820110

姓名:

万龙江

指导教师:

刘英

设计题目

篮球比赛30秒倒计时器的设计

课程论文的要求

设计一个篮球赛计时系统,具有攻方30秒倒计时功能,设计要求如下;

(1)工作时间可在1—30S内任意设定。

(2)30S计时器是递减计时器,间隔为1S。

能用LED数码管显示剩余工作时间,还能显示到1/10秒。

即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。

(3)减1计时到7S时,发出三声低音预告信号。

(4)减1计时到零时(工作时间到),发出三声高声,并自动停止计时。

(5)具有暂停/继续工作、清零复位和启动计时功能。

主要设计条件

1.提供直流稳压电源、示波器;

2.提供TTL集成电路芯片、电阻、电容及插接用面包板、导线等。

参考元器件:

两片74LS192、74LS00,叁片74LS48,一片555、74LS04、

CC40161,共阴LED显示器3块,电阻、蜂鸣器、发光二极管等

目录

前言……………………………………………………………………………….4

1、计数器概述……………………………………………………………………5

2、系统组成及工作原理…………………………………………………………7

3、单元模块………………………………………………………………………10

4、安装调试步骤…………………………………………………………………14

5、故障分析与电路改进…………………………………………………………15

6、总结与体会……………………………………………………………………16

7、元件清单………………………………………………………………………17

8、参考文献………………………………………………………………………18

前言

随着我国科学技术的不断发展和完善,以及教育体系的不断跟新,社会用人单位对高校人才培养模式提出了更高更新的要求。

复合型、创新型、实用型人才日益受到用人单位的青睐。

科学实验是近代科学发展的一个重要手段。

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。

而典型的应用就是篮球竞赛计时。

在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。

本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。

一旦球员的持球时间超过了30秒,它自动的报警从而判定此球员的犯规。

本设计主要能完成:

显示30秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为30秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

篮球三十秒计时器和篮球二十四秒计时器如出一辙,不同之处在于置数部分。

方案中应用双时钟加、减同步可逆计数器74LS192来实现倒计时功能在功能选择过程中选择减计数功能芯片管脚选择中让DOWN处于工作状态。

在显示零秒亮灯报警电路中,应用组合电路及二极管发光条件来实现该功能。

显示电路用CD451和共阴数码管来实现,通过控制CD4511的灭灯输入信号(BL’)来实现灭灯的功能。

整个电路的设计借助于EWB5.12仿真软件和数字逻辑电路相关理论知识,并在EWB5.12下设计和进行仿真,得到了预期的结果。

第一章计数器概述

1.1计时器的特点及其应用

随着社会文明的进步和科学技术的发展,先进的电子技术在各个近代科学门类和技术领域占有不可或缺的核心地位。

在我国现代化建设的发展进程中,数字电子技术在国民经济和科学研究各个领域的应用也越来越广泛。

而计时器恰恰是数字电子技术的一个重要组成部分,计时器是一个用来实现技术功能的一个时序部件,它不仅仅可以用来计脉冲个数,还常常用来做数字系统的定时、分频,执行数字运算,以及其他特定的逻辑功能等等。

计时器的种类很多。

按构成计时器的各触发器是否使用同一个时钟脉冲源来分,可以分为同步计时器和异步计时器;根据计时制的不同,可以分为二进制、十进制和任意进制计时器;根据计时器的增减趋势,可以分为加法、减法和可逆计时器;还有可预置数和可编程序功能计时器等等。

使用者只要借助于期间手册提供的功能表和工作波形图及管脚图排列,就能正确的使用这些器件。

1.2设计任务及要求:

1.2.1基本要求:

(1)具有显示30秒计时功能;

(2)系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;

(3)在直接清零时,要求数码管显示器灭灯;

(4)计时器为30秒递减计时,其计时间隔为1秒;

(5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。

1.2.2设计任务及目标:

(1)根据原理图分析各单元电路的功能;

(2)熟悉电路中所用到的各集成块的管脚及其功能;

(3)进行电路的装接、调试,直到电路能达到规定的设计要求;

(4)写出完整、详细的课程设计报告。

1.2.3主要参考器件

NE55574LS9274LS48

第二章系统组成及工作原理

2.1系统组成

30秒计时器的总体参考方案框如图2—1所示,它包括秒脉冲放声器、计数器译码器显示器电路报警电路和辅助时序控制电路(简单控制电路)等五个模块组成。

其中计数器和控制电路的主要模块。

计数器完成30秒计时功能,而控制电路完成计数器的直接清零启动计数暂停连续计数译码显示电路的显示与灭灯定时时间到报警等功能。

30秒计时器系统设计框图

秒脉冲发生器产生的信号是时间脉冲和定时标准,但本设计对此信号要求并不大高,古电路采用可采用555集成电路或由TTL与门的多振荡器构成。

译码显示电路由74LS48和宫阴极七段LED显示器组成。

报警电路在实验中可用发光二极管代替。

总体设计框图

倒计时设计总体框图

总体电路说明:

倒计时功能主要是利用192计数芯片来实现,同时利用反馈和置数实现进制的转换,以适合分和秒的不同需要。

由于该系统特殊的要求,倒计时器到零时,通过停止控制电路是计数器停止计数并发出蜂鸣报警。

2.2设计思路

定时电路主要由振荡器和计数器组成。

该电路设计思路如下:

1.设计一个秒脉冲发生器,这里采用555定时器来产生这个计时脉冲。

2.设计30秒递减计时电路,由秒脉冲发生器控制其计数,每隔1秒钟,计数器减1。

3.设计译码显示电路,显示器能显示计数器的即时计数数值。

4.设计报警电路,当计数器递减计时到零时(即定时时间到,显示器上显示00),发出报警信号。

5.设计外部操作开关控制计时器的直接清零、启动和暂停/连续计时。

2.3设计方案

分析设计任务,计数器和控制电路是系统的主要部分。

计数器完成30s计时功能,而控制电路具有直接控制计数器的启动计数、暂停/连续计数、译码显示电路的显示和灭灯功能。

为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。

在操作直接清零开关时,要求计数器清零,数码显示器灭灯。

当启动开关闭合时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示“29”字样;当启动开关断开时,计数器开始计数;当暂停/连续开关拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停/连续开关拨在连续时,计数器继续递减计数。

如果根据实验所提供的参考器件,还可在秒脉冲发生模块上做些变化,前者产生的脉冲周期直接是1秒;如果让其产生的秒脉冲频率为10Hz,触发脉冲输出的方波周期为0.1秒,再将该脉冲信号送到由74LS161构成的十分频器,由74LS161输出的脉冲周期为1秒,再将该信号送到计数器74LS192的CP减计数脉冲端。

如此就可得到两个方案,由于两方案原理相同,故本设计只采用其一所述,即直接由555多谐振荡器产生脉冲周期为1秒的脉冲。

第三章单元模块

根据设计思路和原理框图,对各个单元电路进行分析,逐次设计出各个单元电路。

3.1秒脉冲发生器

555定时器内部结构图如图所示。

3.1.1555定时器电路的基本原理..

 

由3.1图可以看出,555内部结构包括两个电压比较器C1和C2,一个基本RS触发器和一个集电极开路的放电三极管TD三部分构成。

VI1是比较器C1的反相输入端,也称阈值端,用TH表示。

VI2是比较器C2的同相输入端,也称触发端,用TR表示。

VR1和VR2是C1和C2的基准电压,VCO是控制电压输入端,当VCO悬空时,VR12/3VCC,VR21/3VCC。

若VCO接固定电压时,VR1VCO,VR21/2VCO。

/RD是清零端,当/RD0时,VO0;当/RD1时,电路处于工作状态。

555定时器的逻辑功能主要取决于比较器C1、C2的工作状态,分析如下,在无外加控制电压VCO的情况下:

1、当VI1gtVR1,VI2gtVR2时,比较器输出VCl0,VC21,触发器置0,使得定时器输出VO0,同时TD导通。

2、当VI1ltVR1,VI2ltVR2时,比较器输出VC11,VC20,触发器置1,使得定时器输出VO1,同时TD截止。

3、当VI1ltVR1;VI2gtVR2时,比较器输出VCl1,VC21,触发器维持原状态不变。

根据以上分析,可得到555集成定时器功能状态表,如表所示。

为了提高电路的负载能力,在输出端接缓冲器G4。

TD与/R接成的反相输出端VO′与VO在高、低电平状态上完全相同。

3.1.2秒脉冲发生器电路设计

555定时器是一种电路结构简单、使用方便灵活、用途广泛的多功能电路,可产生各种脉冲为了给计数器74LS192提供一个时序脉冲信号使其进行减计数本设计采用555构成的多谐振荡电路即脉冲产生电路其基本电路如图3.3示

.其中555管脚图如上图3.2示.由555工作特性和其输出周期计算公式可知其产生的脉冲周期为:

T0.7R12R2C。

因此我们可以计算出各个参数通过计算确定了R1取15k欧姆R2取65k欧姆电容取C为10uF.这样我们得到了比较稳定的脉冲,且其输出周期为1秒。

.

555多频振荡电路图

3.28421BCD码递减计数器模块

3.2.1555定时器

555定时器应用为多谐振荡电路时,当电源接通Vcc通过电阻R1.R2向电容C充电,其上电压按指数规律上升,当u上升至2/3Vcc,会使比较器C1输出翻转,输出电压为零,同时放电管T导通,电容C通过R2放电;当电容电压下降到1/3Vcc,比较器C2工作输出电压变为高电平,C放电终止,Vcc通过R1。

R2又开始充电;周而复始,形成振荡。

则其振荡周期与充放电时间有关,也就是与外接元件有关,不受电源电压变化影响。

输出波形的振荡周期可用过渡过程公式计算:

时,把

代入三要素方程。

于是可解出:

时,

代入公式,于是可解出:

振荡周期

T

于是为了产生周期为1秒的脉冲,可以使

3.2.274LS192

74LS192是十进制计数器,具有“异步清零”和“异步置数”功能,且有进位和借位输出端。

当需要进行多级扩展连接时,只要将前级的端接到下一级的CP+端,端接到下一级的CP-端即可。

74192功能表:

操作

×

×

×

1

清零

×

×

0

0

置数

1

1

0

加计数

1

1

0

减计数

1

1

1

0

保持

 

74LS192的工作原理

计数器单元电路

3.2.374LS48

74LS48输入信号为BCD码,输出端为a、b、c、d、e、f、g共7线,另有3条控制线。

端为测试端。

在端接高电平的条件下,当

时,无论输入端A、B、C、D为何值,a~g输出全为高电平,使7段显示器件显示“8”字型,此功能用于测试器件。

端为灭零输入端。

,条件下,当输入A、B、C、D=0000时,输出a~g全为低电平,可使共阴LED显示器熄灭。

但当输入A、B、C、D不全为零时,仍能正常译码输出,使显示器正常显示。

RBO端为消隐输入端。

该输入端具有最高级别的控制权,当该端为低电平时,不管其他输入端为何值,输出端a~g均为低电平,这可使共阴显示器熄灭。

另外,该端还有第二功能——灭零信号输出端。

当该位输入的A、B、C、D=0000时,此时输出低电平;若该位输入的A、B、C、D不等于零,则输出高电平。

若将与配合使用,很容易实现多位数码显示时的灭零控制。

74LS48管脚图

 

74LS48功能表:

 

本次设计的译码显示电路可以按照图连接电路

译码显示电路

3.2单元模块

3.2.1信号发生部分

秒脉冲的产生由555定时器所组成的多谐振荡电路完成。

电路图如下图所示。

当开关断开时,555定时器产生周期为1s的脉冲;当开关闭合时,电路不能输出信号,于是没有脉冲输入74LS192中,故74LS192在保持状态,即实现暂停功能。

3.2.2倒计时部分

24秒倒计时电路。

这部分电路的主体部分在时钟脉冲的输入情况下工作,下面进行具体分析。

计数器的倒计时功能。

用两片74LS192分别做个位(低位)和十位(高位)的倒计时计数器,由于本系统只需要从开始时的“24”倒计到“00”然后停止,所以,这里的高位不需要做成六十进制的计数器。

因为预置的数不是“00”,所以我选用置数端LOAD来进行预置数。

时钟脉冲分别通过两个与门才再输进个位(低位)的down端,当停止控制电路送来停止信号时,截断时钟脉冲,从而实现电路的停止功能。

低位的借位输出信号用作高位的时钟脉冲。

两片计数器具体接法。

Vcc、UP接+5V电源,GND接地;时钟脉冲从与门输出后接到低位的down,然后从低位BO’接到高位的down;输入端低位C、高位B接电源,其他引脚和CLR都接地。

LOAD接到开关C的活动端,C的另外两引脚分别接G的活动端和地。

而G的另外两个引脚分别接到电源和地。

 

图30秒倒计时电路

 

3.2.3停止控制电路:

倒数计数器到零时,需要将电路转换到“24”并且停住。

现在选取计数器到零的状态24秒计到“00”,从各引脚引出线接到二脚与非门,当计数器从“00”状态转换到“99”时,用与非门把该状态转换成低电平(其余时间为高电平)控制

使电路转换到“24”。

由于数字99是在很短的时间才能看到,用肉眼是看不到的,于是能实现从“00”到“24”的转换。

再通过与非门所组成的触发器的输出端输出低电平,使74LS192处于保持状态。

这样就实现了转换并停止的电路。

 

 

图停止控制电路

3.2.4警报提示装置

警报提示就是完成任一计时器计时结束时,系统给出连续的提示音。

当电路由“00”到“24”时,下面一个与非门输出低电平,而鸣蜂器的和LED1的正极已经接了高电平,故这时由于两端存在电压差,所以鸣蜂器和LED1均能正常工作。

从而发出报警信号。

图警报提示电路

 

总电路图:

由555定时器输出秒脉冲经过R30输入到计数器IC4的CD端,作为减计数脉冲。

当计数器计数计到0时,IC4的(13)脚输出借位脉冲使十位计数器IC3开始计数。

当计数器计数到“00”时应使计数器复位并置数“24”。

本电路利用从“00”到“99”时,通过与非门,使电路置数到“24”并且保持该状态。

由于“99”是一个过渡时期,不会显示出来,所以本电路采用“99”作为计数器复位脉冲。

当计数器由“00”跳变到“99”时,利用个位和十位的“9”即“1001”通过与非门IC5去触发Rs触发器使电路翻转,从11脚输出低电平使计数器置数,并保持为“24”,同时LED发光二极管亮,蜂鸣器发出报警声,即声光报警。

按下J1时,Rs触发器翻转11脚输出高电平,计数器开始计数。

若需要暂停时,按下J2,振荡器停止振荡,使计数器保持不变,断开J3后,计数器继续计数。

(1)J1:

手动复位按钮。

当按下J1时,不管计数器工作于什么状态,计数器立即复位到预置数值,即“24”。

当松开K2时,计数器从24开始计数。

(2)J2:

暂停按钮。

当“暂停/连续”开关处于“暂停”时,计数器暂停计数,显示器保持不变,当此开关处于“连续”开关,计数器继续累计计数。

(3)J3:

启动按钮。

J3处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持"24"状态不变,处于等待状态。

当J3闭合时,计数器开始计数。

3.2.5仿真原理图

具有数字显示的篮球竞赛30S计时器的设计主要分为五个模块:

时钟模块(即秒脉冲发生模块)、计数模块、译码显示模块、辅助时序控制模块(简称控制电路)和报警电路,总体仿真电路如下图(图2.11的输出端连接到图2.12的输入)。

打开仿真软件Multisim10.0.1按要求在Multisim10.0.1里连接好如图所示的电路后就可以按下F5就可以进行电路仿真了,由于仿真界面的限制,此次课程设计的仿真分为了两部分完成。

脉冲发生电路(图1.12)和倒计时的总体电路(图2.12)。

在脉冲发生电路仿真中我们可以用示波器来观察产生的脉冲是否为10Hz。

而在整体电路的仿真部分用一个10Hz的脉冲源替代了脉冲发生电路,最后的仿真结果是:

计时器可以从30倒计时到0停止,并且在倒计时到7时发出低声报警,在倒计时到0时发出高声报警。

同时也可以通过图中的开关按钮来完成时间的调整、置位、清零和暂停。

脉冲发生电路

 

30秒倒计时器整体电路图

 

5、故障分析与电路改进

5.1故障分析和解决

在实际连线过程中,出现故障几乎是不可避免的。

其中接线错误就是第一个一个难以避免的困难。

另外一个让人头疼的原因是实验板与芯片接触不良,导致形成软型故障,难以准确找出故障点。

最后,我个人认为还有一个细节也不能忽视,就是实物图和电脑仿真上的芯片接法并不完全一样,电脑仿真上的芯片许多管脚已经默认接地或接电源了,这些我们在实物图上就必须实际地接上,否则就会得到错误的结果。

在查找故障时,首先要有耐心和细心。

同时要开动脑筋,进行认真的分析和判断。

在不通电的情况下,通过目测,对照电路原理图和装配图,检查每一块片是否正确,极性有无接反,管脚有无损坏,连线有无接错(包括漏错线、短路和)通电后,通过类比法,高低电平比较法等方法逐一找出故障点。

5.2电路改进

尽管用本电路图所焊接出来的电路板能实现功能,而且电路也很稳定。

这也是对本电路的肯定。

但从使用的过程中,其实还是有值得改进的地方。

比如当数码管显示到“00”时,能亮灯,那应该是更完美的。

但是本电路只有在从“00”转到“24”是才能亮灯及报警,也就是说报警器慢了1秒钟报警。

实际的电路改进:

可以先在两个74LS192的输出端接上接二个四输入端与非门。

并且接到触发器的输入端,这样能实现当数码管显示到“00”时,LED发光且鸣蜂器发出声音,实现报警功能。

但是,这样的代价就是使电路更加的复杂,所带来的工作量也随之增大。

6、总结

在此次课程设计中,我们将课本理论知识与实际应用联系起来。

按照书本上的知识和老师讲授的方法,首先和同学一起分析研究此次电路设计任务和要求,然后按照分析的结果进行实际连接操作,检测和校正,再进一步完善电路。

在其中遇到一些不解和疑惑的地方,还有出现的一些未知问题,我们都认真分析讨论,然后对讨论出的结果进行实际检测校正,对一些疑难问题我们也认真向老师询问请教,和老师一起探讨解决。

通过此次电路设计,我们加深了对课本知识的认识理解,对电路设计方法和实际电路连接也有了一定的初步认识。

心得体会

在这次的设计中,让我收获很大,总的来说,有如下体会:

1.在本次课程设计中,我对74LS48、74LS192、NE555加深了了解,巩固了对它们的使用。

对于数字、模拟电路的综合运用有了更深一步理解,为以后的电路分析和设计奠定了一定的基础。

2.学以致用。

在学习数字电路的过程中,上课都是些理论上的东西,并且实验也是在书本上给出设计好的电路下进行的,或者设计一些简单的电路,一直没有真正运用自己所学的知识来设计一个功能较全的电路。

这次课程设计,真正让我们通过自己的努力,通过查阅资料,自己分析解决问题,自己安装调试,来实现所给课题的要求,既巩固了理论知识,又提高了实践能力。

3.学会分析解决问题的能力。

在设计课题中,每一步都要自己去分析解决问题,通过多次的尝试改进来解决问题,有时一点微小的错误都会影响结果的正确性,而许多的设计细节问题又是非常难以突破的,所以需要学会仔细分析问题,努力解决问题。

4.提高实践动手能力。

大学学习很的时间都是在学习理论知识,很少参与时间中去,课程设计给我们提供了一个宝贵的机会,理论用语实践,从设计,仿真,安装调试,没一步的进行,都会带来受益非浅的实际操作训练,许多的实践经验是我们在课本上学不到的,必须经过这样严格的自己动手,才会从中体会出设计成果的喜悦。

理论知识总是要用于实践中才得以升华,我们应该更多的参与实践,以增强我们对电子专业的兴趣。

同时,从开发设计一些小规模产品去体会学习开发设计电子产品的设计思路,为以后的工作打下基础。

5.懂得如何安装调试电路。

设计电路,关键步骤还在于解决实际遇到的问题,安装调试出正确的结果,这一步是最重要的也是最为困难的,许多时候,理论分析正确,却不一定会调试出正确的结果,这关键在于调试过程中的正确与否。

我们必须遵循一些安装调试步骤,先部分调试,然后再整体调试,先部分再全部,切不可一次安装后调试,这样很难查出错误所在。

总之,这次课程设计收获是很大的。

通过这几天的努力,我终于设计并调试出“智能篮球比赛倒计时器的设计”电路,从开始的无从下手到处查阅资料,到试着开始设计各个单元电路,再到细节问题的处理及改进,每一步都是一大提高。

在设计中困难重重,特别是许多的细节问题很是棘手。

但是结果证明,只要不断的努力,不断的思考是一定能实现目标的。

7、元件清单

NE555

1个

电容10uF

1个

74LS48

2个

固定电阻

14个

74LS192

2个

固定电阻1k

14个

74LS00

1个

开关

3个

可调电阻62K

1个

蜂鸣器

1个

固定电阻20K

1个

发光二极管

1个

电容0.1uF

1个

LED数码显示管

2个

万能板一块、芯片底座五个及一段导线

9、参考文献

《电子线路设计、实验、测试》谢自美主编 华中理工出版社

《数字电子技术基础》阎石主编   高等教育出版社

《电子技术基础实验》陈大钦主编  高等教育出版社

 

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1