理工科类笔试题.docx

上传人:b****5 文档编号:6098081 上传时间:2023-01-03 格式:DOCX 页数:18 大小:31.11KB
下载 相关 举报
理工科类笔试题.docx_第1页
第1页 / 共18页
理工科类笔试题.docx_第2页
第2页 / 共18页
理工科类笔试题.docx_第3页
第3页 / 共18页
理工科类笔试题.docx_第4页
第4页 / 共18页
理工科类笔试题.docx_第5页
第5页 / 共18页
点击查看更多>>
下载资源
资源描述

理工科类笔试题.docx

《理工科类笔试题.docx》由会员分享,可在线阅读,更多相关《理工科类笔试题.docx(18页珍藏版)》请在冰豆网上搜索。

理工科类笔试题.docx

理工科类笔试题

电子信息工程、通信工程、电气类等专业面试将会遇到试题大全来源:

 王琴-雨木琴子日记

模仿电路 

1、 基尔霍夫定理内容是什么?

(仕兰微电子) 

基尔霍夫电流定律是一种电荷守恒定律,即在一种电路中流入一种节点电荷与流出同一种节点电荷相等. 

基尔霍夫电压定律是一种能量守恒定律,即在一种回路中回路电压之和为零.

2、平板电容公式(C=εS/4πkd)。

(未知) 

3、最基本如三极管曲线特性。

(未知) 

4、描述反馈电路概念,列举她们应用。

(仕兰微电子) 

5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈长处(减少放大器增益敏捷度,变化输入电阻和输出电阻,改进放大器线性和非线性失真,有效地扩展放大器通频带,自动调节作用)(未知) 

6、放大电路频率补偿目是什么,有哪些办法?

(仕兰微电子) 

7、频率响应,如:

怎么才算是稳定,如何变化频响曲线几种办法。

(未知) 

8、给出一种查分运放,如何相位补偿,并画补偿后波特图。

(凹凸) 

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺 点 

,特别是广泛采用差分构造因素。

(未知) 

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。

(未知) 

11、画差放两个输入管。

(凹凸) 

12、画出由运放构成加法、减法、微分、积分运算电路原理图。

并画出一种晶体管级 

运放电路。

(仕兰微电子) 

13、用运算放大器构成一种10倍放大器。

(未知) 

14、给出一种简朴电路,让你分析输出电压特性(就是个积分电路),并求输出端某点 

 rise/fall时间。

(Infineon笔试试题) 

15、电阻R和电容C串联,输入电压为R和C之间电压,输出电压分别为C上电压和R上电压,规定制这两种电路输入电压频谱,判断这两种电路何为高通滤波器,何为低通滤波器。

当RC<

hold 

16、时钟周期为T,触发器D1建立时间最大为T1max,最小为T1min。

组合逻辑电路最大延迟为T2max,最小为T2min。

问,触发器D2建立时间T3和保持时间应满足什么条件.(华为) 

17、给出某个普通时序电路图,有Tsetup,Tdelay,Tck->q,尚有 clockdelay,写出决定最大时钟因素,同步给出表达式。

(威盛VIA.11.06 上海笔试试题) 

18、说说静态、动态时序模仿优缺陷。

(威盛VIA

.11.06 上海笔试试题) 

19、一种四级Mux,其中第二级信号为核心信号 如何改进timing。

(威盛VIA.11.06 上海笔试试题) 

20、给出一种门级图,又给了各个门传播延时,问核心途径是什么,还问给出输入,使得输出依赖于核心途径。

(未知) 

21、逻辑方面数字电路卡诺图化简,时序(同步异步差别),触发器有几种(区别,长处),全加器等等。

(未知) 

22、卡诺图写出逻辑表达使。

(威盛VIA.11.06 上海笔试试题) 

23、化简F(A,B,C,D)=m(1,3,4,5,10,11,12,13,14,15)和。

(威盛) 

24、pleaseshowtheCMOSinverterschmatic,layoutanditscrosssectionwithP- 

wellprocess.Plotitstransfercurve(Vout-Vin)Andalsoexplaintheoperation 

regionofPMOSandNMOSforeachsegmentofthetransfercurve?

 (威盛笔试题c 

ircuitdesign-beijing-03.11.09) 

25、TodesignaCMOSinvertorwithbalanceriseandfalltime,pleasedefineth 

erationofchannelwidthofPMOSandNMOSandexplain?

 

26、为什么一种原则倒相器中P管宽长比要比N管宽长比大?

(仕兰微电子) 

27、用mos管搭出一种二输入与非门。

(扬智电子笔试) 

28、pleasedrawthetransistorlevelschematicofacmos2 input ANDgateandexplainwhich input hasfasterresponseforoutputrisingedge.(lessdelaytime)。

(威盛笔试题circuitdesign-beijing-03.11.09) 

29、画出NOT,NAND,NOR符号,真值表,尚有transistorlevel电路。

(Infineon笔试) 

30、画出CMOS图,画出tow-to-onemuxgate。

(威盛VIA.11.06 上海笔试试题) 

31、用一种二选一mux和一种inv实现异或。

(飞利浦-大唐笔试) 

32、画出Y=A*B+Ccmos电路图。

(科广试题) 

33、用逻辑们和cmos电路实现ab+cd。

(飞利浦-大唐笔试) 

34、画出CMOS电路晶体管级电路图,实现Y=A*B+C(D+E)。

(仕兰微电子) 

35、运用4选1实现F(x,y,z)=xz+yz’。

(未知) 

36、给一种表达式f=xxxx+xxxx+xxxxx+xxxx用至少数量与非门实现(事实上就是化简). 

37、给出一种简朴由各种NOT,NAND,NOR构成原理图,依照输入波形画出各点波形。

(Infineon笔试) 

38、为了实现逻辑(AXORB)OR (CANDD),请选用如下逻辑中一种,并阐明为什么?

1)INV2)AND3)OR4)NAND5)NOR6)XOR 答案:

NAND(未知) 

39、用与非门等设计全加法器。

(华为) 

40、给出两个门电路让你分析异同。

(华为) 

41、用简朴电路实现,当A为输入时,输出B波形为…(仕兰微电子) 

42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1个数比0多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。

(未知) 

43、用波形表达D触发器功能。

(扬智电子笔试) 

44、用传播门和倒向器搭一种边沿触发器。

(扬智电子笔试) 

45、用逻辑们画出D触发器。

(威盛VIA.11.06 上海笔试试题) 

46、画出DFF构造图,用verilog实现之。

(威盛) 

47、画出一种CMOSD锁存器电路图和版图。

(未知) 

48、D触发器和D锁存器区别。

(新太硬件面试) 

49、简述latch和filp-flop异同。

(未知) 

50、LATCH和DFF概念和区别。

(未知) 

51、latch与register区别,为什么当前多用register.行为级描述中latch如何产生.(南山之桥) 

52、用D触发器做个二分颦电路.又问什么是状态图。

(华为) 

53、请画出用D触发器实现2倍分频逻辑电路?

(汉王笔试) 

54、如何用D触发器、与或非门构成二分频电路?

(东信笔试) 

55、Howmanyflip-flopcircuitsareneededtodivideby16?

(Intel)16分频?

 

56、用filp-flop和logic-gate设计一种1位加法器,输入carryin和current-stage,输出carryout和next-stage. (未知) 

57、用D触发器做个4进制计数。

(华为) 

58、实现N位JohnsonCounter,N=5。

(南山之桥) 

59、用你熟悉设计方式设计一种可预置初值7进制循环计数器,15进制呢?

(仕兰微电子) 

60、数字电路设计固然必问Verilog/VHDL,如设计计数器。

(未知) 

61、BLOCKINGNONBLOCKING 赋值区别。

(南山之桥) 

62、写异步D触发器verilogmodule。

(扬智电子笔试) 

moduledff8(clk,reset,d,q); 

input clk; 

input reset; 

input [7:

0]d; 

output[7:

0]q; 

reg[7:

0]q; 

always@(posedgeclkorposedgereset) 

if(reset) 

q<=0; 

else 

q<=d; 

endmodule 

63、用D触发器实现2倍分频Verilog描述?

 (汉王笔试) 

moduledivide2(clk,clk_o,reset); 

input clk,reset; 

outputclk_o; 

wirein; 

regout; 

always@(posedgeclkorposedgereset) 

if(reset) 

out<=0; 

else 

out<=in; 

assignin=~out; 

assignclk_o=out; 

endmodule 

64、可编程逻辑器件在当代电子设计中越来越重要,请问:

a) 你所懂得可编程逻辑器件有哪些?

 b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。

(汉王笔试) 

PAL,PLD,CPLD,FPGA。

 

moduledff8(clk,reset,d,q); 

input clk; 

input reset; 

input d; 

outputq; 

regq; 

always@(posedgeclkorposedgereset) 

if(reset) 

q<=0; 

else 

q<=d; 

endmodule 

65、请用HDL描述四位全加法器、5分频电路。

(仕兰微电子) 

66、用VERILOG或VHDL写一段代码,实现10进制计数器。

(未知) 

67、用VERILOG或VHDL写一段代码,实现消除一种glitch。

(未知) 

68、一种状态机题目用verilog实现(但是这个状态机画实在比较差,很容易误解) 

(威盛VIA.11.06 上海笔试试题) 

69、描述一种交通信号灯设计。

(仕兰微电子) 

70、画状态机,接受1,2,5分钱卖报机,每份报纸5分钱。

(扬智电子笔试) 

71、设计一种自动售货机系统,卖soda水,只能投进三种硬币,要对的找回钱数。

(1)画出fsm(有限状态机);

(2)用verilog编程,语法要符合fpga设计规定。

(未知) 

72、设计一种自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:

(1)画出fsm(有限状态机);

(2)用verilog编程,语法要符合fpga设计规定;(3)设计工程中可使用工具及设计大体过程。

(未知) 

73、画出可以检测10010串状态图,并verilog实现之。

(威盛) 

74、用FSM实现101101序列检测模块。

(南山之桥) 

a为输入端,b为输出端,如果a持续输入为1101则b输出为1,否则为0。

例如a:

  

b:

  

请画出statemachine;请用RTL描述其statemachine。

(未知) 

75、用verilog/vddl检测stream中特定字符串(分状态用状态机写)。

(飞利浦-大唐笔试) 

76、用verilog/vhdl写一种fifo控制器(涉及空,满,半满信号)。

(飞利浦-大唐笔试) 

77、既有一顾客需要一种集成电路产品,规定该产品可以实现如下功能:

y=lnx,其中,x 为4位二进制整数输入信号。

y为二进制小数输出,规定保存两位小数。

电源电压为3~5v假 

设公司接到该项目后,交由你来负责该产品设计,试讨论该产品设计全程。

(仕兰微电子) 

78、sram,falshmemory,及dram区别?

(新太硬件面试) 

79、给出单管DRAM原理图(西电版《数字电子技术基本》作者杨颂华、冯毛官205页图9-14b),问你有什么办法提高refreshtime,总共有5个问题,记不起来了。

(减少温度,增大电容存储容量)(Infineon笔试) 

80、PleasedrawschematicofacommonSRAMcellwith6transistors,pointoutwhichnodescanstoredataandwhichnodeiswordlinecontrol?

 (威盛笔试题circuitdesign-beijing-03.11.09) 

81、名词:

sram,ssram,sdram 

名词IRQ,BIOS,USB,VHDL,SDR 

IRQ:

InterruptReQuest 

BIOS:

Basic input OutputSystem 

USB:

UniversalSerialBus 

VHDL:

VHICHardwareDescriptionLanguage 

SDR:

SingleDataRate 

压控振荡器英文缩写(VCO)。

 

动态随机存储器英文缩写(DRAM)。

 

名词解释,无聊外文缩写罢了,例如PCI、ECC、DDR、interrupt、pipelineIRQ,BIOS,USB,VHDL,VLSIVCO(压控振荡器)RAM(动态随机存储器),FIRIIRDFT(离散傅立叶变换)或者是中文,例如:

a.量化误差 b.直方图 c.白平衡 

____________________________________________________________ 

IC设计基本(流程、工艺、版图、器件) 

1、咱们公司产品是集成电路,请描述一下你对集成电路结识,列举某些与集成电路有关内容(如讲清晰模仿、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA等概念)。

(仕兰微面试题目) 

2、FPGA和ASIC概念,她们区别。

(未知) 

答案:

FPGA是可编程ASIC。

 

ASIC:

专用集成电路,它是面向专门用途电路,专门为一种顾客设计和制造。

依照一种顾客特定规定,能以低研制成本,短、交货周期供货全定制,半定制集成电路。

与门 

阵列等其他ASIC(ApplicationSpecificIC)相比,它们又具备设计开发周期短、设计制导致本低、开发工具先进、原则产品无需测试、质量稳定以及可实时在线检查等长处 

3、什么叫做OTP片、掩膜片,两者区别何在?

(仕兰微面试题目) 

4、你懂得集成电路设计表达方式有哪几种?

(仕兰微面试题目) 

5、描述你对集成电路设计流程结识。

(仕兰微面试题目) 

6、简述FPGA等可编程逻辑器件设计流程。

(仕兰微面试题目) 

7、IC设计前端到后端流程和eda工具。

(未知) 

8、从RTLsynthesis到tapeout之间设计flow,并列出其中各步使用tool.(未知)

9、Asicdesignflow。

(威盛VIA.11.06 上海笔试试题) 

10、写出asic前期设计流程和相应工具。

(威盛) 

11、集成电路前段设计流程,写出有关工具。

(扬智电子笔试) 

先简介下IC开发流程:

 

1.)代码输入(design input) 

用vhdl或者是verilog语言来完毕器件功能描述,生成hdl代码语言输入工具:

SUMMITVISUALHDLMENTORRENIOR 

图形输入:

composer(cadence);viewlogic(viewdraw) 

2.)电路仿真(circuitsimulation) 

将vhd代码进行先前逻辑仿真,验证功能描述与否对的数字电路仿真工具:

 

Verolog:

 CADENCEVerolig-XL 

SYNOPSYSVCS 

MENTORModle-sim 

VHDL:

CADENCENC-vhdl 

SYNOPSYSVSS 

MENTORModle-sim 

模仿电路仿真工具:

 

***ANTIHSpicepspice,spectremicromicrowave:

eesoft:

hp 

3.)逻辑综合(synthesistools) 

逻辑综合工具可以将设计思想vhd代码转化成相应一定工艺手段门级电路;将初级仿真中所没有考虑门沿(gatesdelay)反标到生成门级网表中,返回电路仿真阶段进行再 

仿真。

最后仿真成果生成网表称为物理网表。

 

12、请简述一下设计后端整个流程?

(仕兰微面试题目) 

13、与否接触过自动布局布线?

请说出一两种工具软件。

自动布局布线需要哪些基本元素?

(仕兰微面试题目) 

14、描述你对集成电路工艺结识。

(仕兰微面试题目) 

15、列举几种集成电路典型工艺。

工艺上常提到0.25,0.18指是什么?

(仕兰微面试题目) 

16、请描述一下国内工艺现状。

(仕兰微面试题目) 

17、半导体工艺中,掺杂有哪几种方式?

(仕兰微面试题目) 

18、描述CMOS电路中闩锁效应产生过程及最后成果?

(仕兰微面试题目) 

19、解释latch-up现象和Antennaeffect和其防止办法.(未知) 

20、什么叫Latchup?

(科广试题) 

21、什么叫窄沟效应?

 (科广试题) 

22、什么是NMOS、PMOS、CMOS?

什么是增强型、耗尽型?

什么是PNP、NPN?

她们有什么差别?

(仕兰微面试题目) 

23、硅栅COMS工艺中N阱中做是P管还是N管,N阱阱电位连接有什么规定?

(仕兰微面试题目) 

24、画出CMOS晶体管CROSS-OVER图(应当是纵剖面图),给出所有也许传播特性和转移特性。

(Infineon笔试试题) 

25、以interver为例,写出N阱CMOSprocess流程,并画出剖面图。

(科广试题) 

26、Pleaseexplainhowwedescribetheresistanceinsemiconductor.Compareth 

eresistanceofametal,polyanddiffusionintranditionalCMOSprocess.(威盛 

笔试题circuitdesign-beijing-03.11.09) 

27、阐明mos一半工作在什么区。

(凹凸题目和面试) 

28、画p-bulk nmos截面图。

(凹凸题目和面试) 

29、写schematicnote(?

), 越多越好。

(凹凸题目和面试) 

30、寄生效应在ic设计中如何加以克服和运用。

(未知) 

31、太底层MOS管物理特性感觉普通不大会作为笔试面试题,由于全是微电子物理,公式推导太罗索,除非面试出题是个老学究。

IC设计话需要熟悉软件:

Cadence,Synops 

ys,Avant,UNIX固然也要大概会操作。

 

32、unix 命令cp-r,rm,uname。

(扬智电子笔试) 

____________________________________________________________ 

单片机、MCU、计算机原理 

1、简朴描述一种单片机系统重要构成模块,并阐明各模块之间数据流流向和控制流流向。

简述单片机应用系统设计原则。

(仕兰微面试题目) 

2、画出8031与2716(2K*8ROM)连线图,规定采用三-八译码器,8031P2.5,P2.4和P2.3参加译码,基本地址范畴为3000H-3FFFH。

该2716有无重叠地址?

依照是什么?

若有,则写出每片2716重叠地址范畴。

(仕兰微面试题目) 

3、用8051设计一种带一种8*16键盘加驱动八个数码管(共阳)原理图。

(仕兰微面试题目) 

4、PCI总线含义是什么?

PCI总线重要特点是什么?

 (仕兰微面试题目) 

5、中断概念?

简述中断过程。

(仕兰微面试题目) 

6、如单片机中断几种/类型,编中断程序注意什么问题;(未知) 

7、要用一种开环脉冲调速系统来控制直流电动机转速,程序由8051完毕。

简朴原理如下:

由P3.4输出脉冲占空比来控制转速,占空比越大,转速越快;而占空比由K7-K0八个开关来设立,直接与P1口相连(开关拨到下方时为"0",拨到上方时为"1",构成一种八位二进制数N),规定占空比为N/256。

 (仕兰微面试题目) 

下面程序用计数法来实现这一功能,请将空余某些添完整。

 

MOVP1,#0FFH 

LOOP1 :

MOVR4,#0FFH 

-------- 

MOVR3,#00H 

LOOP2 :

MOVA,P1 

-------- 

SUBBA,R3 

JNZSKP1 

-------- 

SKP1:

MOVC,70H 

MOVP3.4,C 

ACALLDELAY :

此延时子程序略 

-------- 

-------- 

AJMPLOOP1 

8、单片机上电后没有运转,一方面要检查什么?

(东信笔试题) 

9、WhatisPCChipset?

 (扬智电子笔试) 

芯片组(Chipset)是主板核心构成某些,按照在主板上排列位置不同,普通分为北桥芯片和南桥芯片。

北桥芯片提供对CPU类型和主频、内存类型和最大容量ISA/PCI/A 

GP插槽、ECC纠错等支持。

南桥芯片则提供对KBC(键盘控制器)、RTC(实时时钟控制器)、USB(通用串行总线)、UltraDMA/33(66)EIDE数据传播方式和ACPI(高档能 

源管理)等支持。

其中北桥芯片起着主导性作用,也称为主桥(HostBridge)。

 

除了最通用南北桥构造外,当前芯片组正向更高档加速集线架构发展,Intel8xx系列芯片组就是此类芯片组代表,它将某些子系统如IDE接口、音效、MODEM和USB直接 

接入主芯片,可以提供比PCI总线宽一倍带宽,达到了266MB/s。

 

10、如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类问题。

(未知) 

11、计算机基本构成某些及其各自作用。

(东信笔试题) 

12、请画出微机接口电路中,典型输入设备与微机接口逻辑示意图(数据接口、控制接口、所存器/缓冲器)。

 (汉王笔试) 

13、cache重要某些什么。

(威盛VIA.11.06 上海笔试试题) 

14、同步异步传播差别(未知) 

15、串行通信与同步通信异同,特点,比较。

(华为面试题) 

16、RS232c高电平脉冲相应TTL逻辑是?

(负逻辑?

) (华为面试题) 

____________________________________________________________ 

信号与系统 

1、话音频率普通为300~3400HZ,若对其采样且使信号不失真,其最小采样频率应为多大?

若采用8KHZ采样频率,并采用8bitPCM编码,则存储一秒钟信号数据量有多大?

(仕兰微面试题目) 

2、什么耐奎斯特定律,怎么由模仿信号转为数字信号。

(华为面试题) 

3、如果模仿信号带宽为 5khz,要用8K采样率,怎么办?

lucent) 两路?

 

4、信号与系统:

在时域与频域关系。

(华为面试题) 

5、给出时域信号,求其直流分量。

(未知) 

6、给出一时域信号,规定

(1)写出频率分量,

(2)写出其傅立叶变换级数;(3)当波形通过低通滤波器滤掉高次谐波而只保存一次谐波时,画出滤波后输出波形。

(未知) 

7、sketch

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 外语学习 > 其它语言学习

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1