digital实验讲义2.docx

上传人:b****5 文档编号:5842946 上传时间:2023-01-01 格式:DOCX 页数:73 大小:1,009.92KB
下载 相关 举报
digital实验讲义2.docx_第1页
第1页 / 共73页
digital实验讲义2.docx_第2页
第2页 / 共73页
digital实验讲义2.docx_第3页
第3页 / 共73页
digital实验讲义2.docx_第4页
第4页 / 共73页
digital实验讲义2.docx_第5页
第5页 / 共73页
点击查看更多>>
下载资源
资源描述

digital实验讲义2.docx

《digital实验讲义2.docx》由会员分享,可在线阅读,更多相关《digital实验讲义2.docx(73页珍藏版)》请在冰豆网上搜索。

digital实验讲义2.docx

digital实验讲义2

第三章FPGA和模拟可编程器件应用实验

与课程设计

3.1GW48EDA系统使用说明

3.1.1GW48-PK2教学实验系统原理与使用介绍

一、GW48系统使用注意事项

a:

闲置不用GW48系统时,必须关闭电源,拔下电源插头!

b:

在实验中,当选中某种模式后,要按一下右侧的复位键,以使系统进入该结构模式工作。

c:

换目标芯片时要特别注意,不要插反或插错,也不要带电插拔,确信插对后才能开电源。

其它接口都可带电插拔。

请特别注意,尽可能不要随意插拔适配板,及实验系统上的其他芯片。

d:

PC机的并行口工作模式设置在“EPP”模式!

e:

对于GW48-PK2系统,主板左侧“上开关”默认向下,关闭+/-12V电源;“下开关”默认向上,允许下载。

i:

跳线座“SPS”默认向下短路(PIO48);右侧开关默认拨向“TOMCU”。

j:

对于GW48-PK2系统,左下角拨码开关除第4档“DS8使能”向下拨(8数码管显示)外,其余皆默认向上。

k:

对于右下角的“时钟频率选择”区的“clock0”上的短路帽,平时不要插在50/100M高频处,以免高频辐射。

二、GW48系统主板结构与使用方法

以下将详述GW48系列EDA实验开发系统的结构与使用方法。

该系统的实验电路结构是可控的。

即可通过控制接口键,使之改变连接方式以适应不同的实验需要。

因而,从物理结构上看,实验板的电路结构是固定的,但其内部的信息流在主控器的控制下,电路结构将发生变化---重配置。

这种“多任务重配置”设计方案的目的有3个:

1.适应更多的实验与开发项目;2.适应更多的PLD公司的器件;3.适应更多的不同封装的FPGA和CPLD器件。

系统板面主要部件及其使用方法说明如下(请参看相应的实验板板面)。

以下是对GW48-PK2系统主板功能块的注释。

(1)“模式选择键”:

按动该键能使实验板产生12种不同的实验电路结构。

这些结构如第二节的13张实验电路结构图所示。

例如选择了“NO.3”图,须按动系统板上此键,直至数码管“模式指示”数码管显示“3”,于是系统即进入了NO.3图所示的实验电路结构。

(2)适配板:

这是一块插于主系统板上的目标芯片适配座。

对于不同的目标芯片可配不同的适配座。

可用的目标芯片包括目前世界上最大的六家FPGA/CPLD厂商几乎所有CPLD、FPGA和所有ispPAC等模拟EDA器件。

第三节的表中列出了ACEX系列的EP1K30芯片对系统板引脚的对应关系,以利在实验时经常查用。

图3-1GW48EDA系统电子设计二次开发信号图

(3)ByteBlasterMV编程配置口:

如果要进行独立电子系统开发、应用系统开发、电子设计竞赛等开发实践活动,首先应该将系统板上的目标芯片适配座拔下,用配置的10芯编程线将“ByteBlasterMV”口和独立系统上适配板上的10芯口相接,进行在系统编程(如GWDVP-B板),进行调试测试。

“ByteBlasterMV”口能对不同公司,不同封装的CPLD/FPGA进行编程下载。

编程的目标芯片和引脚连线可参考图3-1,从而进行二次开发。

(4)ByteBlasterII编程配置口:

该口主要用于对Cyclone系列AS模式专用配置器件EPCS4和EPCS1编程。

(5)混合工作电压源:

系统不必通过切换即可为CPLD/FPGA目标器件提供5V、3.3V、2.5V、1.8V和1.5V工作电源,此电源位置可参考图3-1。

唯一需要切换的是1.8V和1.5V,如果希望将图3-1上1.8V位置的电压换成1.5V(如用于Cyclone系列器件),应该打开主系统板,对箱内电源板上的跳线接插于“1.5V”即可。

(6)JP5编程模式选择跳线:

(仅GW48-PK2型含此)。

使如果要对Cyclone的配置芯片进行编程,应该将挑选接于“ByBtII”端,在将标有“ByteBlasterII”编程配置口与适配板上EPCS4/1的AS模式下载口用10芯线连接起来通过QuartusII进行编程。

当短路“Others”端时,可对其它所有器件编程,端口信号参考图3-1。

(7)JP6/JVCC/VS2编程电压选择跳线:

跳线JVCC(GW48—GK/PK2型标为“JP6”)是对编程下载口的选择跳线。

对5V器件,如10K10、10K20、7128S、1032、95108等,必须选“5.0V”。

而对低于或等于3.3V的低压器件,如1K30、1K100、10K30E、20K300、Cyclone、7128B等一律选择“3.3V”一端。

(8)并行下载口:

此接口通过下载线与微机的打印机口相连。

来自PC机的下载控制信号和CPLD/FPGA的目标码将通过此口,完成对目标芯片的编程下载。

计算机的并行口通信模式最好设置成“EPP”模式。

(9)键1~键8:

为实验信号控制键,此8个键受“多任务重配置”电路控制,它在每一张电路图中的功能及其与主系统的连接方式随模式选择键的选定的模式而变,使用中需参照第二节中的电路图。

(10)键9~键14:

此6个键不受“多任务重配置”电路控制,由于键信号速度慢,所以其键信号输入口是全开放的,各端口定义在插座“JP8”处,可通过手动节插线的方式来实用,键输出默认高电平。

注意,键1至键8是由“多任务重配置”电路结构控制的,所以键的输出信号没有抖动问题,不需要在目标芯片的电路设计中加入消抖动电路,这样,能简化设计,迅速入门。

但设计者如果希望完成键的消抖动电路设计练习,必须使用键9至键14来实现。

(11)数码管1~8/发光管D1~D16:

受“多任务重配置”电路控制,它们的连线形式也需参照第二节的电路图。

(12)“时钟频率选择”:

位于主系统的右小侧,通过短路帽的不同接插方式,使目标芯片获得不同的时钟频率信号。

对于“CLOCK0”,同时只能插一个短路帽,以便选择输向“CLOCK0”的一种频率:

信号频率范围:

0.5Hz–50MHz。

由于CLOCK0可选的频率比较多,所以比较适合于目标芯片对信号频率或周期测量等设计项目的信号输入端。

右侧座分三个频率源组,它们分别对应三组时钟输入端:

CLOCK2、CLOCK5、CLOCK9。

例如,将三个短路帽分别插于对应座的2Hz、1024Hz和12MHz,则CLOCK2、CLOCK5、CLOCK9分别获得上述三个信号频率。

需要特别注意的是,每一组频率源及其对应时钟输入端,分别只能插一个短路帽。

也就是说最多只能提供4个时钟频率输入FPGA:

CLOCK0、CLOCK2、CLOCK5、CLOCK9。

(13)扬声器:

目标芯片声讯输出,与目标芯片的“SPEAKER”端相接,通过此口可以进行奏乐或了解信号的频率,它与目标器件的具体引脚号,应该查阅本章第3节的表格。

(14)PS/2接口:

通过此接口,可以将PC机的键盘和/或鼠标与GW48系统的目标芯片相连,从而完成PS/2通信与控制方面的接口实验,GW48-PK2含另一PS/2接口,参见实验电路结构NO.5。

(15)VGA视频接口:

通过它可完成目标芯片对VGA显示器的控制。

详细连接方式“实验电路结构图”。

(16)单片机接口器件:

它与目标板的连接方式也已标于主系统板上:

连接方式可参见图3-13。

注1、对于GW48-PK2系统,实验板右侧有一开关,若向“TO_FPGA”拨,将RS232通信口直接与FPGA相接;若向“TO_MCU”拨,则与89C51单片机的P30和P31端口相接。

于是通过此开关可以进行不同的通信实验,详细连接方式可参见图3-13。

平时此开关应该向“TO_MCU”拨,这样可不影响FPGA的工作!

(17)RS-232串行通讯接口:

此接口电路是为FPGA与PC通讯和SOPC调试准备的。

或使PC机、单片机、FPGA/CPLD三者实现双向通信。

(18)“AOUT”D/A转换:

利用此电路模块(实验板左下侧),可以完成FPGA/CPLD目标芯片与D/A转换器的接口实验或相应的开发。

它们之间的连接方式可参阅“实验电路结构NO.5”:

D/A的模拟信号的输出接口是“AOUT”,示波器可挂接左下角的两个连接端。

当使能拨码开关8:

“滤波1”时,D/A的模拟输出将获得不同程度的滤波效果。

注意,进行D/A接口实验时,需打开系统上侧的+/-12V电源开关(实验结束后关上此电源!

)。

(19)“AIN0”/“AIN1”:

外界模拟信号可以分别通过系统板左下侧的两个输入端“AIN0”和“AIN1”进入A/D转换器ADC0809的输入通道IN0和IN1,ADC0809与目标芯片直接相连。

通过适当设计,目标芯片可以完成对ADC0809的工作方式确定、输入端口选择、数据采集与处理等所有控制工作,并可通过系统板提供的译码显示电路,将测得的结果显示出来。

此项实验首先需参阅第二节的“实验电路结构NO.5”有关0809与目标芯片的接口方式,同时了解系统板上的接插方法以及有关0809工作时序和引脚信号功能方面的资料。

注意:

不用0809时,需将左下角的拨码开关的“A/D使能”和“转换结束”打为禁止:

向上拨,以避免与其他电路冲突。

ADC0809A/D转换实验接插方法(如实验电路结构NO.5图所示):

1.左下角拨码开关的“A/D使能”和“转换结束”拨为使能:

向下拨,即将ENABLE(9)与PIO35相接;若向上拨则禁止,即则使ENABLE(9)0,表示禁止0809工作,使它的所有输出端为高阻态。

2.左下角拨码开关的“转换结束”使能,则使EOC(7)PIO36,由此可使FPGA对ADC0809的转换状态进行测控。

(20)VR1/“AIN1”:

VR1电位器,通过它可以产生0V~+5V幅度可调的电压。

其输入口是0809的IN1(与外接口AIN1相连,但当AIN1插入外输入插头时,VR1将与IN1自动断开)。

若利用VR1产生被测电压,则需使0809的第25脚置高电平,即选择IN1通道,参考“实验电路结构NO.5”。

(21)AIN0的特殊用法:

系统板上设置了一个比较器电路,主要以LM311组成。

若与D/A电路相结合,可以将目标器件设计成逐次比较型A/D变换器的控制器件参考“实验电路结构NO.5”。

(22)系统复位键:

此键是系统板上负责监控的微处理器的复位控制键,同时也与接口单片机和LCD控制单片机的复位端相连。

因此兼作单片机的复位键。

(23)下载控制开关:

(仅GW48—GK/PK型含此开关)在系统板的左侧的开关。

当需要对实验板上的目标芯片下载时必须将开关向上打(即“DLOAD”);而当向下打(LOCK)时,将关闭下载口,这时可以将下载并行线拔下而作它用(这时已经下载进FPGA的文件不会由于下载口线的电平变动而丢失);例如拔下的25芯下载线可以与GWAK30+/或GWAK100+/GW48-SOC+等适配板上的并行接口相接,以完成类似逻辑分析仪方面的并行通信实验。

(24)跳线座SPS:

短接“T_F”可以使用“在系统频率计”。

频率输入端在主板右侧标有“频率计”处。

模式选择为“A”。

短接“PIO48”时,信号PIO48可用,如实验电路结构图NO.1中的PIO48。

平时应该短路“PIO48”。

(25)目标芯片万能适配座CON1/2:

在目标板的下方有两条80个插针插座(GW48-CK系统),其连接信号如图3-1所示,此图为用户对此实验开发系统作二次开发提供了条件。

此二座的位置设置方式和各端口的信号定义方式与综合电子设计竞赛开发板GWDVP-B完全兼容!

(26)左拨码开关:

拨码开关的详细用法可参考实验电路结构NO.5图和图3-13。

(27)ispPAC下载板:

如果要对适配板上的模拟可编程器件进行编程,可以使用一块ispPAC模拟EDA器件下载板,对ispPAC10/20/80等器件编程下载用。

(28)+/-12V电源开关:

位置在实验板左上角。

有一指示灯。

此电源主要提供的对象有:

1)实验板上与082、311及D/A器件DAC0832相关的实验;2)模拟信号发生源;3)ADDA适配板上的D/A及参考电源;此电源输出口可参见图3-1。

平时,此电源必须关闭!

(29)智能逻辑笔:

逻辑信号由实验板左侧的“LOGICPENINPUT”输入。

测试结果:

“高电平”:

判定为大于3V的电压;亮第1个发光管。

“低电平”:

判定为小于1V的电压;亮第2个发光管。

“高阻态”:

判定为输入阻抗大于100K欧姆的输出信号;亮第3个发光管。

注意,此功能具有智能化。

“中电平”:

判定为小于3V,大于1V的电压;亮第4个发光管。

“脉冲信号”:

判定为存在脉冲信号时;亮所有的发光管。

注意,使用逻辑笔时,clock0/clock9上不要接50MHz,以免干扰。

(30)模拟信号发生源:

此信号源主要用于DSP实验及A/D高速采样用信号源。

使用方法如下:

1)打开+/-12V电源;2)用一插线将右下角的某一频率信号(如65536Hz)连向单片机上方插座“SS2”的INPUT端;3)将旁边的3针座的“750K”端短路;4)这时在“SS3”的OUTPUT端及信号挂钩“WAVEOUT”端同时输出模拟信号,可用示波器显示输出模拟信号(这时输出的频率也是65536Hz);5)右侧拨码开关的“6”、“7”、“8”分别控制3个滤波电容。

如右拨“8”为“ON”,则滤波电容为33p。

这可根据不同的频率和波形要求选择不同的滤波电容;6)右侧的电位器是调谐输出幅度的;7)注意,插座“SS1”是用于选择频率区域的:

若短路“750K”,则能选择小于等于750K频率的信号,这时控制拨码开关的“6”、“7”、“8”有效;若短路“1.5M”,则能选择大于等于1.5M频率的信号,这时控制拨码开关的“1”、“2”、“3”有效,但应注意,此时用的是串联滤波电阻,如选择“1”为ON,串行滤波电阻为100欧。

(31)8位数码扫描显示电路:

使用方法:

首先将左下角的拨码开关全部向上拨(关闭8个数码管的重配置式工作方式),然后系统上方的拨码开关全部向下拨(打开数码管扫描工作方式);最后即可以用插线将JP10插座上的信号分别与适配板上的FPGA相连,其中下排显示段:

a、b、…g、h;上排控制位,高电平有效。

(32)JP13选择VGA输出:

将“ENBL”短路,使VGA输出显示使能;将“HIBT”短路,使VGA输出显示禁止,这时可以将来自外部的VGA显示信号通过JP12座由VGA口输出。

此功能留给SOPC开发。

(33)FPGA与LCD连接方式:

使用图3-13的实验电路结构图COM可知,默认情况下,FPGA是通过89C51单片机控制LCD液晶显示的,但若FPGA中有Nios嵌入式系统,则能使FPGA直接控制LCD显示。

方法是拔去此单片机(在右下侧),用连线将座JP22/JP21(LCD显示器引脚信号)各信号分别与座JP19/JP20(FPGA引脚信号)相连接即可。

针对目标器件的型号,查表锁定引脚后,参考第五章有关LCD控制时序的内容即可。

此功能留给SOPC开发。

(34)JP23使用说明:

单排座JP23有3个信号端,分别来自此单片机的I/O口。

(35)使用举例:

若模式键选中了“实验电路结构图NO.1”,这时的GW48系统板所具有的接口方式变为:

FPGA/CPLD端口PI/O31~28(即PI/O31、PI/O30、PI/O29、PI/O28)、PI/O27~24、PI/O23~20和PI/O19~16,共4组4位二进制I/O端口分别通过一个全译码型7段译码器输向系统板的7段数码管。

这样,如果有数据从上述任一组四位输出,就能在数码管上显示出相应的数值,其数值对应范围为:

FPGA/CPLD输出

0000

0001

0010

1100

1101

1110

1111

数码管显示

0

1

2

C

D

E

F

端口I/O32~39分别与8个发光二极管D8~D1相连,可作输出显示,高电平亮。

还可分别通过键8和键7,发出高低电平输出信号进入端口I/049和48;键控输出的高低电平由键前方的发光二极管D16和D15显示,高电平输出为亮。

此外,可通过按动键4至键1,分别向FPGA/CPLD的PIO0~PIO15输入4位16进制码。

每按一次键将递增1,其序列为1,2,…9,A,…F。

注意,对于不同的目标芯片,其引脚的I/O标号数一般是同GW48系统接口电路的“PIO”标号是一致的(这就是引脚标准化),但具体引脚号是不同的,而在逻辑设计中引脚的锁定数必须是该芯片的具体的引脚号。

具体对应情况需要参考第3节的引脚对照表。

3.1.2实验电路结构图

1.实验电路信号资源符号图说明

图3-2实验电路信号资源符号图

结合图3-2,以下对实验电路结构图中出现的信号资源符号功能作出一些说明:

(1)图3-2a是16进制7段全译码器,它有7位输出,分别接7段数码管的7个显示输入端:

a、b、c、d、e、f和g;它的输入端为D、C、B、A,D为最高位,A为最低位。

例如,若所标输入的口线为PIO19~16,表示PIO19接D、18接C、17接B、16接A。

(2)图3-2b是高低电平发生器,每按键一次,输出电平由高到低、或由低到高变化一次,且输出为高电平时,所按键对应的发光管变亮,反之不亮。

(3)图3-2c是16进制码(8421码)发生器,由对应的键控制输出4位2进制构成的1位16进制码,数的范围是0000~1111,即^H0至^HF。

每按键一次,输出递增1,输出进入目标芯片的4位2进制数将显示在该键对应的数码管上。

(4)直接与7段数码管相连的连接方式的设置是为了便于对7段显示译码器的设计学习。

以图NO.2为例,如图所标“PIO46-PIO40接g、f、e、d、c、b、a”表示PIO46、PIO45..PIO40分别与数码管的7段输入g、f、e、d、c、b、a相接。

(5)图3-2d是单次脉冲发生器。

每按一次键,输出一个脉冲,与此键对应的发光管也会闪亮一次,时间20ms。

(6)图3-2e是琴键式信号发生器,当按下键时,输出为高电平,对应的发光管发亮;当松开键时,输出为低电平,此键的功能可用于手动控制脉冲的宽度。

具有琴键式信号发生器的实验结构图是NO.3。

2、各实验电路结构图特点与适用范围简述

(1)结构图NO.0:

目标芯片的PIO19至PIO44共8组4位2进制码输出,经外部的7段译码器可显示于实验系统上的8个数码管。

键1和键2可分别输出2个四位2进制码。

一方面这四位码输入目标芯片的PIO11~PIO8和PIO15~PIO12,另一方面,可以观察发光管D1至D8来了解输入的数值。

例如,当键1控制输入PIO11~PIO8的数为^HA时,则发光管D4和D2亮,D3和D1灭。

电路的键8至键3分别控制一个高低电平信号发生器向目标芯片的PIO7至PIO2输入高电平或低电平,扬声器接在“SPEAKER”上,具体接在哪一引脚要看目标芯片的类型,这需要查第3节的引脚对照表。

如目标芯片为FLEX10K10,则扬声器接在“3”引脚上。

目标芯片的时时钟输入未在图上标出,也需查阅第3节的引脚对照表。

例如,目标芯片为EP1K30时,则输入此芯片的时钟信号有CLOCK0至CLOCK9,共4个可选的输入端,对应的引脚为126、54、56、124。

具体的输入频率,可参考主板频率选择模块。

此电路可用于设计频率计,周期计,计数器等等。

(2)结构图NO.1:

适用于作加法器、减法器、比较器或乘法器等。

例如,加法器设计,可利用键4和键3输入8位加数;键2和键1输入8位被加数,输入的加数和被加数将显示于键对应的数码管4-1,相加的和显示于数码管6和5;可令键8控制此加法器的最低位进位。

(3)结构图NO.2:

可用于作VGA视频接口逻辑设计,或使用数码管8至数码管5共4个数码管作7段显示译码方面的实验;而数码管4至数码管1,4个数码管可作译码后显示,键1和键2可输入高低电平。

(4)结构图NO.3:

特点是有8个琴键式键控发生器,可用于设计八音琴等电路系统。

也可以产生时间长度可控的单次脉冲。

该电路结构同结构图NO.0一样,有8个译码输出显示的数码管,以显示目标芯片的32位输出信号,且8个发光管也能显示目标器件的8位输出信号。

(5)结构图NO.4:

适合于设计移位寄存器、环形计数器等。

电路特点是,当在所设计的逻辑中有串行2进制数从PIO10输出时,若利用键7作为串行输出时钟信号,则PIO10的串行输出数码可以在发光管D8至D1上逐位显示出来,这能很直观地看到串出的数值。

(6)结构图NO.5:

此电路结构有较强的功能,主要用于目标器件与外界电路的接口设计实验。

主要含以下9大模块:

1.普通内部逻辑设计模块。

在图的左下角。

此模块与以上几个电路使用方法相同,例如同结构图NO.3的唯一区别是8个键控信号不再是琴键式电平输出,而是高低电平方式向目标芯片输入。

此电路结构可完成许多常规的实验项目。

2.RAM/ROM接口。

在图左上角,此接口对应于主板上,有1个32脚的DIP座,在上面可以插RAM,也可插ROM(仅GW48-GK/PK系统包含此接口)例如:

RAM:

628128;ROM:

27C010、27C020、27C040、27C080、29C010、29C020、29C040等。

此32脚座的各引脚与目标器件的连接方式示于图上,是用标准引脚名标注的,如PIO48(第1脚)、PIO10(第2脚)、OE控制为PIO62等等。

注意,RAM/ROM的使能CS1由左边的拨码开关“1”控制。

对于不同的RAM或ROM,其各引脚的功能定义不尽一致,即,不一定兼容,因此在使用前应该查阅相关的资料,但在结构图的上方也列出了部分引脚情况,以资参考。

3.VGA视频接口。

在图右上角,它与目标器件有5个连接信号:

PIO40、41、42、43、44,通过查表(第3节的引脚对照表);例如,对应于EP1K30/50-144的5个引脚号分别是:

87、88、89、90、91。

4.PS/2键盘接口。

在图右上侧。

它与目标器件有2个连接信号:

PIO45、46。

5.A/D转换接口。

在图左侧中。

图中给出了ADC0809与目标器件连接的电路图。

使用注意事项可参照上节。

有关FPGA/CPLD与ADC0809接口方面的实验示例在(实验8)中给出。

6.D/A转换接口。

在图右下侧。

图中给出了DAC0832与目标器件连接的电路图。

使用注意事项可参照上节。

有关FPGA/CPLD与0832接口方面的实验示例在(实验9)中给出。

7.LM311接口。

注意,此接口电路包含在以上的D/A接口电路中,可用于完成使用DAC0832与比较器LM311共同实现A/D转换的控制实验。

比较器的输出可通过主板左下侧的跳线选择“比较器”,使之与目标器件的PIO37相连。

以便用目标器件接收311的输出信号。

注意,有关D/A和311方面的实验都必须打开+/-12V电压源,实验结束后关闭此电源。

8.单片机接口。

根据此图和图3-13,给出了单片机与目标器及LCD显示屏的连接电路图。

9.RS232通信接口。

注意,结构图NO.5中并不是所有电路模块都可以同时使用,这是因为各模块与目标器件的IO接口有重合:

Ⅰ.当使用RAM/ROM时,数码管3、4、5、6、7、8共6各数码管不能同时使用,这时,如果有必要使用更多的显示,必须使用以下介绍的扫描显示电路。

但RAM/ROM可以与D/A转换同时使用,尽管他们的数据口(PIO24、25、26、27、28、29、30、31)是重合的。

这时如果希望将RAM/ROM中的数据输入D/A中,可设定目标器件的PIO24、25、26、27、28、29、30、31端口为高阻态;而如果希望用目标器件FPGA直接控制D/A器件,可通过拨码开关禁止

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > PPT模板 > 国外设计风格

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1