实验一VHDL入门与QuartusII使用指南.docx

上传人:b****5 文档编号:5767010 上传时间:2023-01-01 格式:DOCX 页数:14 大小:368.16KB
下载 相关 举报
实验一VHDL入门与QuartusII使用指南.docx_第1页
第1页 / 共14页
实验一VHDL入门与QuartusII使用指南.docx_第2页
第2页 / 共14页
实验一VHDL入门与QuartusII使用指南.docx_第3页
第3页 / 共14页
实验一VHDL入门与QuartusII使用指南.docx_第4页
第4页 / 共14页
实验一VHDL入门与QuartusII使用指南.docx_第5页
第5页 / 共14页
点击查看更多>>
下载资源
资源描述

实验一VHDL入门与QuartusII使用指南.docx

《实验一VHDL入门与QuartusII使用指南.docx》由会员分享,可在线阅读,更多相关《实验一VHDL入门与QuartusII使用指南.docx(14页珍藏版)》请在冰豆网上搜索。

实验一VHDL入门与QuartusII使用指南.docx

实验一VHDL入门与QuartusII使用指南

实验一VHDL入门与QuartusII使用

一、实验目的

1.熟悉QuartusII的VHDL文本设计流程。

2.学习用VHDL进行简单逻辑电路设计,多层次电路设计。

3.掌握VHDL设计电路的仿真、综合、和硬件测试的过程.

二、实验内容

1.实验内容1:

首先利用QuartusⅡ完成2选1多路选择器(例3-1)的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出仿真波形,验证本项设计的功能。

2.实验内容2:

用VHDL语言来描述一个3选1多路选择器,将例4-1多路选择器看成是一个元件mux21a,利用元件例化语句描述图3—17(93页),并将此文件放在同一目录muxk中.用层次化描述的方法,先建立一个2选1多路选择器实体,然后在顶层设计中调用该实体两次就得到了3选1多路选择器。

以下是部分参考程序:

...

COMPONENTMUX21A

PORT(a,b,s:

INBIT;

y:

OUTBIT);

ENDCOMPONENT;

.

u1:

MUX21APORTMAP(a=〉a2,b=〉a3,s=>s0,y=>tmp);

u2:

ENDARCHITECTUREBHV;

对上面方法得到的VHDL设计进行功能仿真,验证其逻辑功能的正确性。

验证完成后进行综合,查看其RTL电路结构。

三、实验报告

将实验原理、设计过程、编译仿真波形、RTL电路和分析结果写进实验报告。

四、实验步骤

QuartusII设计的主要流程有:

创建工程、设计输入、编译、仿真验证、引脚锁定、下载.

1.创建工程

在D盘中新建一个文件夹D:

\mux21a,此文件夹用于存放整个工程。

打开QuartusII,在菜单中选择File—〉NewProjectWizard将会出现一个信息框,这个对话框介绍创建工程步骤,可以直接选Next,这时会出现如图1所示的对话框。

这里需输入的是欲创建工程的基本信息,三个输入栏中分别输入的是工程将被保存的路径及工程文件夹、工程的名称和顶层实体的名称。

建议工程名与顶层实体名称保持一致.输入完毕我们就可以点击Next.

图1新建工程基本信息对话框

然后出现图2所示的添加工程文件对话框。

在这里需要做的是将已经写好的VHDL文件加入到工程中.本次实验,可以直接点击Next,以后再添加VHDL文件的工作。

图2添加工程文件对话框

然后出现图3所示对话框,这里我们需要完成的是选择器件的工作。

选择EP2C35F672C8,集成了最高达33,216个逻辑单元和473Kb的片上RAM,速度等级8。

选择完成后,点击Next。

图3目标器件选择对话框

然后出现图4所示对话框,这里询问是否选用第三方EDA工具,我们不选用,直接点击Next.

图4EDA工具选择对话框

然后出现图5所示对话框,该对话框给出了所生成工程的信息,点击Finish就完成了工程创建。

图5生成工程的信息

2.设计输入

在QuartusII中创建新的VHDL文件。

在File—〉New,在弹出的对话框中DeviceDesignFile中选择VHDLFile,图6所示。

图6选择VHDL文件类型

点击OK将在工作区弹出一文本编辑窗口,输入VHDL程序,编辑完毕后保存为mux21a。

vhd.图7所示。

图7保存mux21a.vhd

3.编译

完成对VHDL文件的编辑后,进行编译。

选择菜单中的

进行编译,编译成功后,会出现图8的提示信息。

图8编译成功对话框

4.功能仿真

我们通过波形图仿真来验证我们的设计。

File—〉New,在OtherFiles页中选中VectorWaveformFile.点击OK按钮。

出现一个空的波形图文件。

如图9所示。

图9空的波形图文件

然后点击Edit—〉EndTime,设置仿真结束时间.我们这里设置1us。

改完点击OK关闭End窗口。

在File菜单中选择SaveAs,点击save,保存为mux21a.vwf.

在波形图中Name栏下空白处双击,出现InsertNodeorBus对话框,点击NodeFinder,如图10

(1)所示.

图10添加节点

(1)

然后在NodeFinder对话框中的Filter里,用下拉菜单选Pins:

all,点List,出现信号节点列表。

如图10

(2)所示。

并点击〉号,将信号选择到右边窗口。

图10添加节点

(2)

在Name栏中选输入端口a的名称,此端口所在行被高亮。

选中

按钮,设置波形。

参数设为10ns,如图11所示。

图11输入a激励参数设置

分别点击b和s,同样设置参数,如图12、13所示

图12输入b激励参数设置

图13输入s激励参数设置

设置好参数后,将波形图文件存盘.如图14所示。

图14激励波形设置

菜单Assignments->Settings-〉SimulatorSettings中选择功能仿真Functional,如图15所示。

图15设置功能仿真

接着产生功能仿真网表,Processing—〉GenerateFunctionalSimulationNetlist。

点击

,开始仿真,仿真完毕后在SimulationReport的SimulationWaveforms窗口中可以看到输出波形图。

如图16所示。

图16仿真结果

5.查看RTL电路和门级电路:

点击Tools—〉NetlistViewers—〉RTLViewer后,生成如下的RTL电路:

点击Tools->NetlistViewers—〉TechnologyMapViewer后,生成如下的门级电路:

 

电子设计自动化(EDA)实验报告

实验题号:

实验一

项目名称:

VHDL入门与QuartusII使用

系班:

计科系12

(2)

学号:

姓名:

实验日期:

2014—04—09

指导老师:

一、实验目的

二、实验内容

三、将实验原理、设计过程、编译仿真波形、RTL、引脚绑定和分析结果写进实验报告。

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1