封装专用英语词汇修订稿.docx

上传人:b****6 文档编号:5745383 上传时间:2022-12-31 格式:DOCX 页数:36 大小:31.49KB
下载 相关 举报
封装专用英语词汇修订稿.docx_第1页
第1页 / 共36页
封装专用英语词汇修订稿.docx_第2页
第2页 / 共36页
封装专用英语词汇修订稿.docx_第3页
第3页 / 共36页
封装专用英语词汇修订稿.docx_第4页
第4页 / 共36页
封装专用英语词汇修订稿.docx_第5页
第5页 / 共36页
点击查看更多>>
下载资源
资源描述

封装专用英语词汇修订稿.docx

《封装专用英语词汇修订稿.docx》由会员分享,可在线阅读,更多相关《封装专用英语词汇修订稿.docx(36页珍藏版)》请在冰豆网上搜索。

封装专用英语词汇修订稿.docx

封装专用英语词汇修订稿

集团文件发布号:

(9816-UATWW-MWUB-WUNN-INNUL-DQQTY-19882)

 

封装专用英语词汇

常见封装形式简介

DIP=DualInlinePackage=双列直插封装

HDIP=DualInlinePackagewithHeatSink=带散热片的双列直插封装

SDIP=ShrinkDualInlinePackage=紧缩型双列直插封装

SIP=SingleInlinePackage=单列直插封装

HSIP=SingleInlinePackagewithHeatSink=带散热片的单列直插封装

SOP=SmallOutlinePackage=小外形封装

HSOP=SmallOutlinePackagewithHeatSink=带散热片的小外形封装

eSOP=SmallOutlinePackagewithexposedthermalpad=载体外露于塑封体的小外形封装

SSOP=ShrinkSmallOutlinePackage=紧缩型小外形封装

TSSOP=ThinShrinkSmallOutlinePackage=薄体紧缩型小外形封装

TQPF=ThinProfileQuadFlatPackage=薄型四边引脚扁平封装

PQFP=PlasticQuadFlatPackage=方形扁平封装

LQPF=LowProfileQuadPackage=薄型方形扁平封装

eLQPF=LowProfileQuadFlatPackagewithexposedthermalpad=载体外露于塑封体的薄型方形扁平封装

DFN=DualFlatNon-leadedPackage=双面无引脚扁平封装

QFN=QuadFlatNon-leadedPackage=双面无引脚扁平封装

TO=Transistorpackage=晶体管封装

SOT=SmallOutlineofTransistor=小外形晶体管

BGA=BallGridArray=球栅阵列封装

BQFP=QuadFlatPackageWithBumper=带缓冲垫的四边引脚扁平封装

CAD=ComputerAidedDesign=计算机辅助设计

CBGA=CeramicBallGridArray=陶瓷焊球阵列

CCGA=CeramicColumnGridArray=陶瓷焊柱阵列

CSP=ChipSizePackage=芯片尺寸封装

DFP=DualFlatPackage=双侧引脚扁平封装

DSO=DualSmallOutline=双侧引脚小外形封装

3D=Three-Dimensional=三维

2D=Two-Dimensional=二维

FCB=FlipChipBonding=倒装焊

IC=IntegratedCircuit=集成电路

I/O=Input/Output=输入/输出

LSI=LargeScaleIntegratedCircuit=大规模集成电路

MBGA=MetalBGA=金属基板BGA

MCM=MultichipModule=多芯片组件

MCP=MultichipPackage=多芯片封装

MEMS=MicroelectroMechanicalSystem=微电子机械系统

MFP=MiniFlatPackage=微型扁平封装

MSI=MediumScaleIntegration=中规模集成电路

OLB=OuterLeadBonding=外引脚焊接

PBGA=PlasticBGA=塑封BGA

PC=PersonalComputer=个人计算机

PGA=PinGridArray=针栅阵列

SIP=SystemInaPackage=系统级封装

SOIC=SmallOutlineIntegratedCircuit=小外形封装集成电路

SOJ=SmallOutlineJ-LeadPackage=小外形J形引脚封装

SOP=SmallOutlinePackage=小外形封装

SOP=SystemOnaPackage=系统级封装

WB=WireBonding=引线健合

WLP=WaferLevelPackage=晶圆片级封装

常用文件、表单、报表中英文名称

清除通知单Purgenotice

工程变更申请ECR(EngineeringChangeRequest)

持续改善计划CIP(continuousimprovementplan)

戴尔专案DellProject

收据Receipt

数据表Datasheet

核对表Checklist

文件清单Documentationchecklist

设备清单Equipmentchecklist

调查表,问卷Questionnaire

报名表Entryform

追踪记录表Trackinglog

日报表Dailyreport

周报表Weeklyreport

月报表Monthlyreport

年报表Yearlyreport

年度报表Annualreport

财务报表Financialreport

品质报表Qualityreport

生产报表Productionreport

不良分析报表FAR(Failureanalysisreport)

首件检查报告Firstarticleinspectionreport

初步报告(或预备报告)Preliminaryreport

一份更新报告Anundatedreport

一份总结报告Afinalreport

纠正与改善措施报告(异常报告单)CAR(CorrectiveActionReport)

出货检验报告OutgoingInspectionReport

符合性报告(材质一致性证明)COC(CertificateofCompliance)

稽核报告Auditreport

品质稽核报告Qualityauditreport

制程稽核报告Processauditreport

5S稽核报告5Sauditreport

客户稽核报告Customerauditreport

供应商稽核报告Supplierauditreport

年度稽核报告Annualauditreport

内部稽核报告Internalauditreport

外部稽核报告Externalauditreport

SPC报表(统计制程管制)Statisticalprocesscontrol

工序能力指数(Cpk)Processcapabilityindex

(规格)上限Upperlimit

(规格)下限Lowerlimit

规格上限UpperSpecificationLimit(USL)

规格下限LowerSpecificationLimit(LSL)

上控制限(或管制上限)UpperControlLimit(UCL)

下控制限(或管制下限)LowerControlLimit(LCL)

最大值Maximumvalue

平均值Averagevalue

最小值Minimumvalue

临界值Thresholdvalue/criticalvalue

MRB单(生产异常通知报告)MaterialReviewBoardReport

工艺流程图ProcessFlowDiagram

物料清单(产品结构表/用料结构表)BOM(BillofMaterials)

合格供应商名录AVL(ApprovedVendorList)

异常报告单CAR

工程规范报告通知单(工程变更通知)ECN

TECN

自主点检表SelfCheckList

随件单(流程卡)TravelingCard(RunCard)

压焊图Bondingdiagram

晶圆管制卡Waferinspectioncard

晶圆进料品质异常反馈单FeedbackReportforWaferIncomingQualityProblems

订购单PO(PurchaseOrder)

出货通知单AdvancedShipNotice

送货单/交货单DO(DeliveryOrder)

询价单RFQ(Requestforquotation)

可靠性实验报告ReliabilityMonitorReport

产品报废单PSB

特采控制表CRB

返工单PRB

异常处理行动措施OCAP

减薄:

Wafer[‘weif]

n.威化饼干、电子晶片(晶圆薄片)

Grind[ɡraind]vt.&vi.磨碎;嚼碎n.磨,碾

Crack[krk]vt.&vi.

(使…)开裂,破裂n.

裂缝,缝隙

Ink[ik]

n.

墨水,油墨

Die[dai]vt.&vi.

死亡(芯片)

Dot[dt]n.

点,小圆点

Mounting[‘maunti]

n.

装备,衬托纸

Tape[teip]n.

带子;录音磁带;录像带

Size[saiz]n.

大小,尺寸,尺码

Thick[θik]adj.厚的,厚重的

Thickness[‘θiknis]n.

厚(度),深(度)宽(度)

Position[p‘zi?

n]n.

方位,位置

Rough[rf]

adj.

粗糙的;不平的

Fine[fain]adj.

美好的,优秀的,优良的,杰出的

Speed[spi:

d]n.

速度,速率

Spark[spɑ:

k]n.

火花;火星

Out[aut]adv.离开某地,不在里面;(火或灯)熄灭

Grindstone[‘ɡraindstun]n.

磨石、砂轮

Mount[maunt]vt.&vi.

装上、配有

Mounter装配工;安装工;镶嵌工

Mounting[‘maunti]n.

装备,衬托纸

Magazine[,mɡ

‘zi:

n]n.杂志,期刊,弹药库(传递料盒)

Cassette[k‘set]n.盒式录音带;盒式录像带

Inspect[in‘spekt]vt.检查,检验,视察

Inspection[in‘spek

n]n.检查,视察

Card[kɑ:

d]n.

卡,卡片,名片

划片:

Saw[s:

]n.

锯vt.&vi.

锯,往复运动

Sawing['s:

i

]n.

锯,锯切,锯开

Film[film]n.

影片,电影(薄膜,蓝膜)

Frame[freim]n.

框架,骨架,构架

Clean[kli:

n]adj.

清洁的,干净的;纯净的

Cleaner[‘kli:

n]n.

作清洁工作的人或物

Oven[‘v

n]

n.

烤箱,炉

Cassette[k‘set]n.

盒式录音带;盒式录像带

Handler[‘h?

ndl]n.(物品、商品)的操作者

Scribe[skraib]

n.抄写员,抄书吏

Street?

n.

大街,街道

Blade[bleid]n.

刀口,刀刃,刀片

Cut[kt]vt.&vi.

切,剪,割,削

Speed[spi:

d]n.

速度,速率

Spindle[‘spindl]n.

主轴,(机器的)轴

Size[saiz]n.

大小,尺寸,尺码

Cooling['ku:

li]adj.

冷却(的)

Kerf[k:

f]n.

锯痕,截口,切口

Width[widθ]n.

宽度,阔度,广度

Chip[tip]

n.

碎片、缺口

Chipping[‘t?

ipi]n.

碎屑,破片

Crack[kr?

k]vt.(使…)开裂,破裂n.裂缝,缝隙

Missing[‘misi]adj.失掉的,失踪的,找不到的

Die[dai]vt.&vi.

死亡(芯片)

Saw[s:

]n.

锯vt.&vi.

锯,往复运动

Street[stri:

t]n.

大街,街道

Film[film]n.

影片,电影(薄膜,蓝膜)

Frame[freim]n.

框架,骨架,构架

Tape[teip]n.

带子;录音磁带;录像带

Bubble['bbl]n.

泡,水泡,气泡

mount---贴wafer---晶圆?

frame---框架blade---刀片

tape---膜cassette---盒子completion---完成loader---上料

un-loader---出料initial---初始化open---打开air---空气

pressure---压力failure---失败vacuum---真空alignment---校准

ink---黑点die---芯片error---错误limit---限制

cover---盖子device---产品data---数据saw---切割

water---水elevator---升降机spindle---主轴sensor---感应器

wheel---轮子setup---测高rotary---旋转check---检查

feed---进给cutter---切割speed---速度height---高度

new---新shift---轮班pause---暂停clean---清洗?

center---中心chip---崩边change---变换enter---确认

Offcenter---偏离中心broken---破的alarm---报警

上芯:

Attach[‘tt]vt.&vi.

贴上;系;附上

Bond[bnd]

n.

连接,接合,结合vt.

使粘结,使结合

Bonder[‘bnd

]n.联接器,接合器,粘合器

Dieattachmaterialepoxy粘片胶

Epoxy[e‘pksi]n

.环氧树脂(导电胶)

Material[m‘tiril]n.

材料,原料

Non-conductiveepoxy绝缘胶

Conductive[kn‘dktiv]adj.传导的

Dispenser[dis‘pens]n.

配药师,药剂师

Nozzle[‘nzl]

n.

管嘴,喷嘴

Rubber[‘rb

]n.

(合成)橡胶,橡皮

Tip[tip]n.

尖端,末端

Diepick-uptool吸嘴

Tool[tu:

l]n.

工具,用具

Collect[k‘lekt]vt.

收集,采集(吸嘴)

Ejector[i‘dekt

]n.

驱逐者,放出器,排出器

Pin[pin]n.针,大头针,别针

LeadFrame引线框架

Lead[li:

d]vt.&vi.

带路,领路,指引

Frame[freim]n.

框架,骨架,构架

Magazine[,mɡ‘zi:

n]n.杂志,期刊(料盒)

Curing[‘kjuri

]

n.

塑化,固化,硫化,硬化

Oven[‘vn]n.

烤箱,炉

Scrap[skrp]n.

小片,碎片,碎屑

Dent[dent]

n.

凹痕,凹坑

DieLift-off晶粒脱落(芯片脱落,掉芯)

Skew[skju:

]adj.

歪,偏,斜

Misorientation?

[mis,:

rien‘tein]n.

定向误差,取向误差

Presqueezedel写胶前气压延时

Postsqueezedel写胶后气压延时

Squeeze[skwi:

z]

vt.榨取,挤出n.

挤,榨,捏

Eject[i‘dekt]

vt.&vi.

弹出,喷出,排出

Delay[di'lei]n.

延迟

Height[hait]n.

高度,身高

Level[‘levl]n.

水平线,水平面;水平高度

Head[hed]n.

头部,领导,首脑

Ejectupdelay顶针延迟

Ejectupheight顶针高度

Bondlevel粘片高度

PickLevel捡拾芯片高度

Headpickdelay粘接头拾取延迟

Headbonddelay粘接头粘接延时

Pickdelay捡拾芯片延时

Bonddelay粘接芯片延时

Index[‘indeks]n.

索引;标志,象征;量度

Clamp[klmp]

vt.&vi.

夹紧;夹住n.

夹具

Indexclampdelay步进夹转换延时

Indexdelay框架步进延时

Shear[i]vt.

剪羊毛,剪n.

大剪刀

Test[test]n.

测验,化验,试验,检验

Diesheartest推晶试验

Thickness['θiknis]n.

厚(度),粗

Coverage[‘kvrid]n.

覆盖范围

Epoxythickness&coverage导电胶厚度和覆盖率

Orientation[,:

rien‘tein]n.

方向,目标

DieOrientation芯片方向

Void[vid]adj.

空的,空虚的n.

太空,宇宙空间;空隙,空处;空虚感,失落感

Epoxyvoid导电胶空洞

Chip[tip]n.

碎片

Damage[‘dmid]vt.&vi.

损害,毁坏,加害于n.损失,损害,损毁

Chipdamage芯片损伤

Backside[‘bksaid]

n.

臀部,屁股,背面

Chipbacksidedamage芯片背面损伤

Tilt[tilt]vt.&vi.

(使)倾斜

Tilteddie芯片歪斜

Epoxyondie芯片粘胶

Crack[krk]vt.&vi.

(使…)开裂,破裂n.

裂缝,缝隙

Crackdie芯片裂缝/芯片裂痕

Lift[lift]vt.&vi.

举起,抬起n.

抬,举

Lifteddie翘芯片

Misplace[,mis‘pleis]vt.

把…放错位置

Misplaceddie设置芯片

NOdieonL/F空粘

Insufficient[,ns‘fint]adj.

不足的,不够的

Insufficientepoxy导电胶不足

Epoxycrack导电胶多胶

Epoxycuring银浆烘烤

Edge[ed]n.

边,棱,边缘

Partial[‘pɑ:

l]

adj.

部分的,不完全的

Mirror[‘mir]n.

镜子

Missing[‘misi]adj.

失掉的,失踪的,找不到的

Edgedie/partialdie边缘片/边沿芯片

Mirrordie光片/镜子芯片

Missingdie掉芯/漏芯/掉片

Splash[spl]vt.使(液体)溅起vi.(液体)溅落

Splatter[‘splt]vt.&vi.

(使某物)溅泼

Diagram[‘daiɡrm]

n.

图解,简图,图表

Inksplash/inksplatter墨溅

Diebondingdiagram上芯图

Dieshesrtest推片实验/推晶试验

Diesheartester推片试验机

Dieshesrtool推片头

Metalcorrosion晶粒腐蚀/芯片腐蚀

Wafermappingsystem芯片分级系统

System['sistm]n.

系统;体系

wafer---晶圆?

die---芯片attach---粘贴glue---银胶

substrate---基板?

magazine---盒子inspection---检查parameter---参数

manual---操作手册reset---重设enter---确定error---错误

input---输入speed---速度stop---停止pressure---压力

vacuum---真空sensor---传感器backside---背面pin---针

statistics---统计calibration---校正bond---贴片conversion---改机

thickness---厚度tilt---倾斜度shape---形状adjust---调整

contact---接触cover---覆盖device---产品chip---崩边

pause---暂停elevator---升降机initial---初始化alignment---校准

cassette---盒子tape---膜frame---框架ring---铁圈

temperature---温度rubbertip---吸嘴frametype---框架型号

nozzle---点胶头writer---划胶头

压焊:

Wire[‘wai]n.

金属丝,金属线;电线,导线

Bond[bnd]n.

接合,结合vt.

使粘结,使结合

Wirebond/Wiringbonding压焊/焊丝/球焊

Goldwire金丝

Pad[pd]vt.

给…装衬垫,加垫子n.垫,护垫

Bondpad焊点、铝垫

1stbond第一焊点

Padsize焊点尺寸/铝垫尺寸

Capillary[k‘pilri]n.毛细管;毛细血管(劈刀)

Pitch[pit]程度;强度;高度

Padpitch铝垫间距/焊点间距

Elongation[i:

l

‘ɡei?

n]n.延长;延长线;延伸率

Breaking[‘breiki]n.

破坏,阻断

Load[lud]n.

负荷;负担;工作量,负荷量

BreakingLoad破断力

Pull[pul]vt.&vi.拉,扯,拔

Shear[i]vt.

剪羊毛,剪n.

大剪刀

Wirepull/ballpull(焊丝)拉力

Wireshear/ballshear(焊丝)推力

Ultrasonic[,ltr‘snik]adj.

(声波)超声的

Power[‘pau]

n.

功力,动力,功率

Force[f:

s]

n.

力;力量;力气

Ultrasonicpower超声功率

Bondingforce压力

Bondingtime时间

Temperature[‘temprit]n.

温度,气温

Bondingtemperature温度

Ultrasonicwirebonding超声波压焊

EFO打火烧球

loop[lu:

p]n.

圈,环,环状物

Loopheight孤高

Wirepulltest拉力试验

Ballsheartest金球推力试验

PIN1第一脚

Ballheight球高

Balldiameter球径

Cratering[‘kreitri

]

n.

缩孔;陷穴(弹坑)

KOHetchingtestKOH腐蚀试验

BondCrateringtest压焊腐蚀试验(弹坑试验)

Thermal[‘θ:

ml]adj.热的,热量的

Compression[km‘pren]n.

挤压,压缩

TCB(ThermalCompressionBond)热压焊

BondingDiagram压焊图/布线图

WrongBonding布线错误

Incomplete[,nkm‘pli:

t]adj.不完全的,未完成的

Incompletebond焊不牢

Nobonding无焊

N2BOX氮气柜

RTPC实时过程监控

Tray[trei]n.

盘子,托盘

HandingTray产品盘

FBI压焊后目检

FBIinsp-M/C压焊检验机

Microscope[‘maikrskup]n.

显微镜

LowPowerMicroscope低倍显微镜

Flux[flks]n.

熔剂、焊剂;助熔剂,助焊剂

Hook[huk]vt.&vi.

钩住,吊住,挂住

Wirepullhook线钩(测拉力)

Ballsheartool推球头(测推力)

Metal[‘metl]n.

金属

Discolor[dis‘kl]v.使脱色;(使)变色,(使)褪色

Oxide[‘k

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1