直流电机的设计.docx

上传人:b****6 文档编号:5624522 上传时间:2022-12-29 格式:DOCX 页数:12 大小:117.26KB
下载 相关 举报
直流电机的设计.docx_第1页
第1页 / 共12页
直流电机的设计.docx_第2页
第2页 / 共12页
直流电机的设计.docx_第3页
第3页 / 共12页
直流电机的设计.docx_第4页
第4页 / 共12页
直流电机的设计.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

直流电机的设计.docx

《直流电机的设计.docx》由会员分享,可在线阅读,更多相关《直流电机的设计.docx(12页珍藏版)》请在冰豆网上搜索。

直流电机的设计.docx

直流电机的设计

 

课程设计报告

课程设计报告题目:

直流电机PWM控制

姓名:

xx

学号:

xx

专业:

电子信息工程

班级:

xx

指导教师:

xx

2012年11月09日

 

摘要

PWM是脉冲宽度调制(PulseWidthModula-tion)的简称。

脉冲宽度调制产生电路,通常称为PWM电路,是利用半导体功率晶体管或IGBT等开关器件的导通和关断,把直流电压变成电压脉冲列,控制电压脉冲的宽度或周期以达到变压变频目的的一种变换电路。

针对通常采用的单片机对PWM输出控制实时性差的缺点,本文采用ALTERA公司的MAX9000系列芯片,运用VerilogHDL语言编程,通过QuartusⅡ开发平台,设计了PWM输出控制系统

PWM在自动控制和计算机技术领域中都有非常广泛的用途,因此,设计支持PWM输出的芯片使用价值很大。

随着集成电路规模越来越大,数字系统设计越来越复杂,VerilogHDL语言在硬件电路设计中的优越性越来越突出。

目前,数百万门规模的FPGA/CPLD已进入实用,VerilogHDL强大的系统描述能力、规范的设计结构和简洁、灵活的编程风格使其必将用来完成大数字系统、超大规模数字系统

的几乎全部设计任务,具有广阔的发展前景。

 

关键词:

直流电机;PWM;FPGA;有源晶振;VerilogHDL

目录

 

设计要求1

1、方案1

2、设计原理及其实现过程1

设计总原理1

设计总原理图2

关于VerilogHDL2

新建VerilogHDL对程序设计与VerilogHDL中的仿真步骤3

1.话框如下图3

2.输入相应的程序如下图4

3.点击START检查程序并进入仿真4

模块设计和相应模块程序5

1.逻辑控制模块5

2.电路的总仿真图6

2.1正/反转控制仿真6

仿真结果分析7

课程设计结论及心得体会8

附录9

设计要求

设计一个直流电机PWM调速控制器,并能进行正反转控制;

1、方案

基于FPGA的直流电机调速方案用FPGA产生PWM波形,只需要FPGA内部资源就可以实现,如数字比较器、锯齿波发生器等均为FPGA内部资源,我们只要直接调用就可以。

其工作原理是:

旋转方向控制电路控制直流电动机转向和启/停,该电路由两个2选1的多路选择器组成,Z/F键控制选择PWM波形是从正端Z进入H桥,还是从负端F进入H桥,以控制电机的旋转方向。

当Z/F=1时,PWM输出波形从正端Z进入H桥,电机正转。

当Z/F=0时,PWM输出波形从负端F进入H桥,电机反转。

Start键通过“与”门控制PWM输出,实现对电机的工作停止/控制。

当START=1时,与门打开,允许电机工作。

当START=0时,与门关闭,电机停止转动。

H桥电路由大功率晶体管组成,PWM输出波形通过方向控制电路送到H桥,经功率放大以后对直流电机实现四象限运行。

FPGA外部连线很少,电路更加简单,便于控制。

FPGA的直流电机PWM控制具有精度高,反应快,外部连线少,电路简单,便于控制等优点,

2、设计原理及其实现过程

设计总原理

基于FPGA的直流电机PWM控制电路主要由四部分组成:

控制命令输入模块、控制命令处理模块、控制命令输出模块、电源模块。

键盘电路、时钟电路是系统的控制命令输入模块,向FPGA芯片发送命令,FPGA芯片是系统控制命令的处理模块,负责接收、处理输入命令并向控制命令输出模块发出PWM信号,是系统的控制核心。

控制命令输出模块由H型桥式直流电机驱动电路组成,它负责接收由FPGA芯片发出的PWM信号,从而控制直流电机的正反转、加速以及在线调速。

电源模块负责给整个电路供电,保证电路能够正常的运行。

START是电机的开启端,Z_F是电机的方向端口,选择电机运行的方向。

Z_F键是电机运转的方向按键,当把Z_F键按下时,Z_F=1,电机正转;反之Z/F=0时,电机反转。

START是电机的开启键,当START=1,允许电机工作;当START=0时,电机停止转动。

H桥电路由大功率晶体管组成,PWM输出波形通过由两个二选一电路组成的方向控制电路送到H桥,经功率放大以后对直流电机实现四象限运行。

设计总原理图

 

图1总电路设计图

关于VerilogHDL

传统的硬件设计,电路及功能的调试一般只有在系统硬件完成后进行。

一旦所设计的硬件存在缺陷,就有可能要重新设计和制作系统,这样一来就造成了设计周期的延长。

如今数字电路设计的EDA(ElectronicDesignAutomation)软件具有仿真功能,通过系统仿真验证其结果的正确性后进行实际电路的测试。

由EDA软件验证的结果十分接近实际结果。

因此,极大地提高了电路设计的效率。

其中QuartusⅡ是一种比较实用的EDA软件,它具有原理图输入和文本输入两种方式。

VerilogHDL是一种硬件描述语言,可以在算法级、门级到开关级的多种抽象设计层次上对数字系统建模。

VerilogHDL可以描述设计的行为特性、数据流特性、结构组成以及包含响应监控和设计验证方面的延时和波形产生机制。

此外,VerilogHDL提供了编程语言接口,通过该接口用户可以在模拟、验证期间从外部访问设计,包括

模拟的具体控制和运行。

VerilogHDL具有以下优点:

1)VerilogHDL作为一种通用硬件描述语言,易学易用。

它的语法与C语言类似,因此对于具有C语言编程经验的设计者来说,很容易学习和掌握。

2)VerilogHDL允许在同一个电路模型内进行不同抽象层次的描述。

3)绝大多数流行的综合工具都支持VerilogHDL,这是VerilogHDL成为设计者的首选语言的重要原因之一。

4)所有的制造厂商都提供用于VerilogHDL综合之后的逻辑仿真的元件库。

5)编程语言接口(PLI)是Verilog语言最重要的特性之一,它使得设计者可以通过自己编写C代码来访问Verilog内部的数据结构。

设计者可以使用PLI按照自己的需要来配置VerilogHDL仿真

新建VerilogHDL对程序设计与VerilogHDL中的仿真步骤

1.话框如下图

 

2.输入相应的程序如下图

3.点击START检查程序并进入仿真

模块设计和相应模块程序

1.逻辑控制模块

如图12所示FPGA中的工作/停止控制和正/反转方向控制电路,其两个二选一多路选择器加上两个与门根据逻辑原理组合而成。

START键通过“与”门控制PWM输出,实现对电机的工作/停止控制。

当START端接高电平时,表示电源接通,电机开始运转;当START端接低电平时,电机停止运转。

Z/F键控制选择PWM波形是从正端Z进入H桥,还是从负端F进入H桥,以控制电机的旋转方向。

当Z/F=1时PWM输出波形从正端Z进入H桥,电机正转。

当Z/F=0时PWM输出波形从负端F进入H桥,电机反转。

仿真如图。

图正/反转工作控制电路波形

当START=1时,与门打开,允许电机工作。

当START=0时,与门关闭,电机停止转动。

仿真如图14所示。

图14 工作/停止电路波形

2.电路的总仿真图

2.1正/反转控制仿真

键盘Z_F是电机的方向控制键。

当要求电机正转时,只需要按下键Z_F,表示Z_F输出高电平,即Z_F=1,电机正转,如图17所示。

当键Z_F松开时,Z_F=0时,电机反转,如图。

图电机正转

图电机反转

图电机正反转

2.4.2启/停控制仿真

START键是电机的启动键,当按下START键时,START=1,电机进入运行状态,如图18所示。

反之,START=0时,电机停止,如图所示。

图启动仿真波形

图停止仿真波形

图启/停仿真波形

仿真结果分析

本设计中的各项功能够很好的实现。

在时钟脉冲的作用下,计数器CNTA和CNTB都能按照事先设定好的规则进行计数。

Z_F是电机的方向按键,选择PWM波形的进入方向,当其为1时,电机正转,反之,反转。

至于电机的控制,是在它的输入端加上两个与门来控制电机的启动与停止。

其具体的操作如下:

当按下键Z_F键时,电机正转,松开键时,电机反转。

当按下键START时,电机开始工作,松开时,电机停止工作。

电路中省去了D/A转换器使电路变得更加简洁,同时也降低控制器的成本。

FPGA内部采用状态机结构,遇到干扰时,能很快从异常状态转入正常工作状态,保证了控制系统具有高的可靠性。

从以上的仿真中可以看出,基于FPGA的直流电机的控制能够达到很好的预期效果。

课程设计结论及心得体会

数据挖掘过程可视化与交互式一般内容的建构为实现具有过程可视化和交互式支持的数据挖掘系统提供了功能需求规格。

同时,以过程对象和过程粒度等概念为基础给出的粗细选择参考方案为考虑系统实现规模指明了思路。

今后的研究要在细分化、层次化、实用化上做进一步的探讨和实践。

本次EDA课程设计题目为直流电机调速器设计,实现用PWM对于电机的控制。

此次课设需要用硬件描述语言(VHDL)编写程序,并在QuartusII软件平台上进行程序的编译和仿真,锁定引脚并下载到可编程逻辑器件(试验箱)中,进行硬件的测试。

EDA技术对于我们电子信息工程专业的学生来说是一本很重要的专业技术课程,EDA技术极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度,是一门实际应用很广泛的技术。

现在对EDA的概念或范畴用得很宽。

包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。

目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。

例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

所以,EDA课程的学习对于我们自身素质和能力的提高有十分重要的积极作用,应该很认真的学习。

参考文献

[1]TomSoukup,IanDavidson.可视化数据挖掘:

数据可视化和数据挖掘的技术与工具[M].朱建秋,蔡伟杰译.北京:

电子工业出版社,2004.

[2]HanJ.W.,KamberM.数据挖掘概念与技术[M].范明,孟小峰译.北京:

机械工业出版社,2006.

[3]刘绪崇.基于OLAM的可视化数据挖掘技术研究[D].长沙:

国防科学技术大学研究生院,2002.

 

附录

程序如下:

modulepwm(start,pwm,z_f,z,f);

inputz_f,pwm,start;

outputregz,f;

always@(pwm)

beginif(start)

beginif(z_f)beginz<=pwm;f<=0;end

elsebeginf<=pwm;z<=0;end

end

elsebeginz<=0;f<=0;end

end

endmodule

 

东华理工大学课程设计报告评分表

学生姓名:

徐浩学号:

09325224班级:

093252

课程设计题目:

直流电机PMW控制

项目内容

满分

实评

能结合所学课程知识、有一定的能力训练。

符合选题要求

(3人一题)

5

工作量适中,难易度合理

10

能熟练应用所学知识,有一定查阅文献及运用文献资料能力

10

理论依据充分,数据准确,公式推导正确

10

能应用计算机软件进行编程、资料搜集录入、加工、排版、制图等

10

能体现创造性思维,或有独特见解

15

模型正确、合理,各项技术指标符合要求。

15

摘要叙述简练完整,假设合理、问题分析正确、数学用语准确、结论严谨合理;问题处理科学、条理分明、语言流畅、结构严谨、版面清晰

15

课程设计报告主要部分齐全、合理,符号统一、编号齐全。

 格式、绘图、表格、插图等规范准确,符合课程设计报告要求

10

正文字数不少于2000字,不超过15000字

5

总分

100

指导教师评语:

 

指导教师签名:

年月日

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 农学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1