综合课程设计报告简易数字频率计.docx

上传人:b****6 文档编号:5290533 上传时间:2022-12-14 格式:DOCX 页数:23 大小:472.05KB
下载 相关 举报
综合课程设计报告简易数字频率计.docx_第1页
第1页 / 共23页
综合课程设计报告简易数字频率计.docx_第2页
第2页 / 共23页
综合课程设计报告简易数字频率计.docx_第3页
第3页 / 共23页
综合课程设计报告简易数字频率计.docx_第4页
第4页 / 共23页
综合课程设计报告简易数字频率计.docx_第5页
第5页 / 共23页
点击查看更多>>
下载资源
资源描述

综合课程设计报告简易数字频率计.docx

《综合课程设计报告简易数字频率计.docx》由会员分享,可在线阅读,更多相关《综合课程设计报告简易数字频率计.docx(23页珍藏版)》请在冰豆网上搜索。

综合课程设计报告简易数字频率计.docx

综合课程设计报告简易数字频率计

合肥学院

综合课程设计报告

 

题目:

基于单片机的简易数字频率计设计

系别:

电子信息与电气工程系

专业:

电子信息工程

班级:

姓名:

导师:

成绩:

 

2014年12月25日

目录

摘要:

1

1引言1

1.1设计任务2

1.2设计要求2

2系统设计2

2.1设计思路2

2.2总体方案设计与论证2

2.3各模块方案设计与论证4

2.3.1主控制模块方案的设计论证4

2.3.2波形变换模块方案的设计论证4

2.3.3键盘模块方案的设计论证5

2.3.4显示模块方案的设计论证5

2.3.5稳压电源模块方案的设计论证6

2.4方案确定6

3理论分析与计算7

3.1脉冲宽度测量7

3.2频率与周期测量8

3.3刷新时间8

4硬件设计8

4.1稳压电源电路设计8

4.2主控制电路设计9

4.3波形变换电路设计9

4.4倍频放大电路设计10

4.5键盘电路设计11

4.6显示电路设计12

5软件设计12

6系统测试14

6.1测试条件14

6.2测试仪器14

6.3测试方法和测试结果及分析14

7总结16

8参考文献16

附录17

附录1部分器件清单17

附录2主函数程序及注释17

摘要

基于ATmega128单片机为主控制器,设计并实现了一台简易数字频率计的制作。

该简易数字频率计主要由主控制器电路、波形变换电路、倍频放大电路、键盘显示电路以及稳压电源电路等几部分组成。

该系统主要通过软件控制,利用AVRmega128单片机内部定时器特有的捕获低频脉冲信号,并计数的功能,从而实现系统的制作。

此外本系统由外置键盘实现刷新时间的调节,LCD12864液晶屏同步显示频率、周期、脉冲宽度以及刷新时间值。

通过继电器的切换控制,实现了低频信号的倍频放大以便于测量。

最终本系统可实现频率、周期测量范围在信号为方波、正弦波时幅度为0.5V~5V;频率为1Hz~10KHz;测量误差≤1%等等要求。

同时该系统具有电路结构简洁紧凑,易于控制,测量精度高等优点。

关键词:

ATmega128波形变换倍频放大继电器切换LCD显示

1引言

随着电子技术的不断发展,各种电子产品也层出不穷,种类繁多。

但是每一种产品开发时都应该少不了对信号的检测,而检测信号的频率也是其中重要指标之一。

本设计设计的目的就是要设计出一种高效,高精度,价格便宜符合广大群众要求的简易数字频率计。

本设计的简易数字频率计主要由主控制器电路、波形变换电路、倍频放大电路、键盘显示电路以及自制稳压电源电路等几部分组成。

在实际科研和测量仪器中,希望当输入信号的频率在1Hz~10KHz,甚至更高频率,以及幅度在大范围变化时,输出信号的频率、周期和脉冲宽度大小能按输入信号的调节实时显示,且误差小于1%,甚至达到0.01%的高精度。

这就要求对电路进行优化设计,兼顾工艺制造以及软件编程算法的准确性,才能设计出性价比更高、性能稳定的简易数字频率计。

本设计系统基于AVRmega128单片机为主控制器,将数字电路控制转变成用软件控制去实行,不但简化了电路结构,使系统易于控制,而且使得系统性能更加稳定,精度更高,从而了提高系统性价比。

最终本系统可实现频率、周期测量范围在信号为方波、正弦波时幅度为0.5V~5V;频率为1Hz~10KHz;测量误差≤1%等等要求。

1.1设计任务

设计并制作一台数字显示的简易数字频率计。

1.2设计要求

(1)频率测量范围:

信号为方波、正弦波;幅度为0.5V~5V;频率为1Hz~10KHz;测量误差≤1%。

(2)周期测量范围:

信号为方波、正弦波;幅度为0.5V~5V;频率为1Hz~10KHz;测量误差≤1%。

(3)脉冲宽度测量范围:

信号为脉冲波;幅度为0.5V~5V;脉冲宽度≥10ms。

测量误差≤1%。

(4)显示器:

十进制数字显示,六位数显示,显示刷新时间1~10秒连续可调,对上述三种测量功能分别用不同颜色的发光二极管指示。

(5)自行设计并制作满足本设计任务要求的稳压电源。

2系统设计

2.1设计思路

综合分析题目可知,要求频率、周期测量范围在信号为方波、正弦波时幅度为0.5V~5V;频率为1Hz~10KHz;测量误差≤1%。

由于信号有正弦波,这就要求电路设计时有信号放大整形电路,使信号转换为方波以便于软件设计时采集高低电平,捕获信号上升下降沿进行计数测量。

又由于要求的频率为1Hz~10KHz,测量误差≤1%,当频率较高时,计数测量的精度也较高,信号经过波形变换,无需倍频就可输出;然而当频率小于100Hz时,由于测量误差要求小于1%,为达到高精度的要求,信号需要经过倍频放大后输出以便于测量计数,这就需要通过继电器的控制。

至于脉冲宽度测量时要求≥10ms,测量误差≤1%,这使得软件设计时要求的频率≤100Hz进行捕获计数。

为满足测量误差精度的要求,显示时要精度到小数点后三位。

题目要求的十进制数字,六位数显示也正说明这点。

自行设计并制作的稳压电源要求纹波小,电压稳定,才能满足系统设计要求,提高系统稳定性。

2.2总体方案设计与论证

方案一:

本方案主要以数字器件为核心,主要分为时基电路,逻辑控制电路,放大整形电路,闸门电路,计数电路,锁存电路,译码显示电路七大部分。

本方案使用大量的数字器件,被测信号经放大整形电路变成计数器所要求的脉冲信号,其频率与被侧信号的频率相同。

同时时基电路提供标准时间基准信号,其高电平持续时间1s,当1s信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到1s信号结束闸门关闭,停止计数。

若在闸门时间1s内计数器计得的脉冲个数为N,则被测信号频率Fx=NHz。

逻辑控制电路的作用有两个:

一是产生锁存脉冲,是显示器上的数字稳定;二是产生清零脉冲,使计数器每次测量从零开始计数。

方案一的原理框图如下图1所示:

图1方案一原理框图

方案二:

以AVRmege128单片机为主控制核心,输入信号通过放大波形变换电路,把被测的正弦波整形为方波,再经过继电器切换是否选择倍频电路完成对低频信号和高频信号的放大以便于测量计数。

本方案主要是利用单片机的计数定时功能来实现频率的计数并且利用单片机的动态扫描法把测出的数据送到显示电路上显示。

其原理框图如下图2所示:

图2方案二原理框图

通过分析比较以上两种方案可以知道,方案二以AVRmege128单片机为控制核心,使用的元器件少,外围电路结构简洁清晰,调试简单,只要改变程序的设定值则可以实现不同频率范围的测试且能通过按键切换自动选择测试的数据显示,系统性能稳定。

与方案二相比较方案一则使用了大量的数字元器件,原理电路复杂,硬件调试麻烦。

如要测量高频的信号还需要加上分频电路,成本高,从而降低了系统的性价比。

基于上述比较,所以选择了方案二。

2.3各模块方案设计与论证

2.3.1主控制模块方案的设计论证

方案一:

采用比较通用的51系列单片机STC89C52。

此系列单片机的运算能力强,软件编程简单,外围电路灵活,自由度大。

虽然该系统采用单片机为核心,能够实现智能控制,但核心控制部件使用89C51时,未达到合计精度的要求;此外设计时需要捕获低频率信号,使用89C52时外围电路必须加上相应电路,这就使得整个系统硬件电路比较复杂,从而系统的性价比降低。

方案二:

采用AVR系列单片机ATmaga128。

此单片机功能较强,兼容性好,性价比高;具有体积小,集成度高,易扩展,可靠性高,功耗小以及具有较高的数据处理和运算能力,运行速度快。

最主要的是ATmaga128单片机内部集成了很多定时器,定时器有捕获脉冲信号的功能,通过捕获信号的上升沿和下降沿的,并进行计数。

这给软件设计调试、维护和功能的扩展,以及电路设计的简便和性能的提高,带来了极大的便利。

通过对比分析,由于系统对控制器快速性要求较高,同时基于AVR系列单片机ATmaga128具有8位精简指令集,拥有丰富的定时器,定时器具有独特的捕获脉冲信号并进行计数的特点,抗干扰能力强,且有宽电压,低功耗等优点,我们选用方案二,即主控制器采用AVR系列单片机ATmaga128。

2.3.2波形变换模块方案的设计论证

考虑到所输入的信号有正弦波,而且最小幅值为0.5V。

单片机所能读入的信号只能是数字信号的方波,所以正弦波在输入单片机之前必须通过放大整形电路变成脉冲波。

方案一:

采用晶体管3DG100与74LS00等组成,其中3DG100组成放大器将输入频率为Fx的周期信号如正弦波,三角波等进行放大。

与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。

方案二:

利用过零比较器,实现正弦波转换,该设计本身电路较简单,但由于过零比较器使用单门限电压,所以抗干扰能力较差。

方案三:

直接采用施密特触发器进行变换与整形。

而施密特电路可用高精度、高速运算电路搭接而成,也可采用专用施密特触发器构成,还可以选用NE5532电路构成。

如采用集成运放OP07和NE5532等组成,OP07对输入信号进行放大,NE5532构成施密特触发器,对放大信号进行整形。

综上所述,上述几种方案均能实现对信号的放大整形,但考虑到晶体管没有集成运放工作稳定,而且用OP07构成的放大电路对放大倍数的调节更为简单。

综合考虑,我们选择方案三。

且施密特电路采用高精度、高速运算放大器NE5532构成,能缩短波形上升时间和下降时间,使得输出方波的上升时间和下降时间可做到小于0.5us。

调节Rw,输出幅度可调节到0.5V~5V,相对而言更符合题目指标要求,而且方案三外围电路简单,成本低。

2.3.3键盘模块方案的设计论证

方案一:

选用独立按键。

独立按键只是一个按键,只能控制一条线路的通断。

当需要用到多个按键时,会占用大量的I/O口,一般适用于按键少的地方,但其编程容易。

方案二:

选用点阵式键盘。

该方式适用于按键多的情况,此电路采用较少的按键,虽然可以减少占用口线的条数,但其编程比较麻烦,我们对之不熟悉且用之不广,在此设计中,故不选用它。

方案三:

选用矩阵键盘。

在单片机通信中,运用到较多的键盘就是矩阵键盘。

矩阵键盘可以用较少的I/O口就能得到较多的按键。

这样,一个端口(如P1口)就可以构成4*4=16个按键,比之直接将端口线用于键盘多出了一倍,而且线数越多,区别越明显。

比如再多加一条线就可以构成20键的键盘,然而直接用端口线则只能多出一键(9键)。

由此可见,在需要的键数比较多时,采用矩阵法来做键盘是合理的。

本系统中,需要运用到键盘的地方较多。

比如频率、周期测量选择键,脉冲宽度测量键以及用于调节刷新时间的+-键等等。

为了满足设计需要,本设计采用方案三,选用矩阵键盘。

2.3.4显示模块方案的设计论证

方案一:

采用LED数码管显示。

LED数码管显示数据相对来说简单方便且应用广泛,同时程序编程也相对较简单,在光线较强的地方也能够很好的显示,受环境的影响较小。

但是,数码管占用的IO口较多,且显示字符相对狭隘。

方案二:

采用LCD1602液晶显示。

LCD1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,这些字符有:

阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码。

这样在显示数据的时候就比较的直观,同时在一定程度上也增加了字符的丰富感。

方案三:

采用LCD12864显示。

LCD12864是一种内部含有国标一级、二级简体中文字库的点阵图形液晶显示模块。

其显示分辨率为128×64点;内置汉字字库(可提供8192个16×16点阵汉字、简繁体可选)和128个16×8点阵字符;LCD12864采用4位/8位并行、2线或3线串行多种接口方式,其接口方式灵活,操作简单、方便。

该模块构成的液晶显示方案与同类型的图形点阵液晶显示模块相比,不论硬件电路结构或显示程序都要简洁得多,且该模块的价格也略低于相同点阵的图形液晶模块。

基于设计的需求,如果选用数码管显示输出频率、周期、脉冲宽度、刷新时间等,不仅需要自行设计驱动电路,数码管显示还需要相关的译码电路,且占用较多的单片机I/O口,同时会占用很多时间来刷新显示;而LCD12864的驱动电路已经在模块内集成好了,且外围电路设计非常简单,占用较少的单片机I/O口,且自动完成刷新功能。

相对于LCD1602而言,12864显示的内容更加丰富,能满足设计要求。

综合考虑电路结构,占用单片机的I/O口多少以及十进制数字,六位数显示频率、周期、脉冲宽度、刷新时间等多信息,我们决定选用方案三,采用LCD12864作为显示模块。

2.3.5稳压电源模块方案的设计论证

方案一:

采用开关电源。

当电源的输出电流过大时,开关电源能够自动切断电流;当输出电流过小时,开关电源能使其增大,起到保护电路的作用。

但考虑到开关电源的价格高,而且其输出的纹波比较大,故不采用。

方案二:

采用普通的稳压二极管自制稳压电源。

由于普通的稳压管其稳压出来的电压不稳定,且纹波电压也较大,而此系统要求高精度的电压输出且需要多个电源,为了避免使输出的电压受到影响,故不使用。

方案三:

采用三端集成稳压器自制稳压电源。

三端稳压管内部集成有调整电路、取样电路、基准电路、启动电路及保护电路等,它有完整的功能体系、健全的保护电路、安全可靠的工作性能,给稳压电源的制作带来了极大的方便,其输出的电压稳定,且效果很好。

通过分析可知,稳压电源主要为主控制电路、波形变换电路以及倍频电路等提供稳定的直流电压。

故本系统需要多个电源,比如提供单片机的工作电压为5V,提供给OP07、NE5532的工作电压为±15V等。

因此,采用三端稳压器7815、7915、7805和7905制作稳压电源,提供各模块各器件所需电压。

即我们采用方案三,此电源体积小,输出电压稳定,纹波小,能够满足设计的要求,易于制作。

2.4方案确定

通过上述各模块各种方案的论证选择,简易数字频率计主要由主控制器电路波形变换电路、倍频放大电路、键盘显示电路以及稳压电源电路等几部分组成。

本系统最终设计方案如下图3所示:

图3系统总体框图

系统工作原理:

该系统主要通过软件控制,利用AVRmege128单片机内部定时器特有的捕获脉冲信号上升下降沿,并计数的功能,从而实现系统的制作。

当输入信号时,首先通过波形变换电路将其转变成矩形波后输出。

当单片机接收到外部信号输入时,定时器能记录第一次脉冲的上升沿到下一次脉冲的上升沿的时间间隔,并将其显示在液晶上,这时间间隔即为输入信号的周期,而其倒数即为输入信号的频率。

而测量脉冲宽度时,从外部信号的高电平开始计时,到出现低电平时结束,这段时间即为脉冲宽度。

当频率较高时,信号经过波形变换,无需倍频就可输出,然而当频率小于100Hz时,需要经过倍频放大后输出以便于测量计数,这就需要通过继电器的控制。

此外本系统由外置键盘实现刷新时间的调节以及显示内容的变化,并由LCD12864液晶屏同步显示频率、周期、脉冲宽度以及刷新时间值,人机界面友好,操作简单方便。

单片机与各模块各器件所需的电压由自制的稳压电源提供。

3理论分析与计算

3.1脉冲宽度测量

脉冲宽度的测量用的是AVR单片机内部16位定时器T3的输入捕获功能,将脉冲信号的高电平持续的时间寄存起来。

设计时所用单片机的外部晶振为8M,在程序设计时把T3的时钟源设置成256分频,也就是系统时钟频率的1/256倍,即T=8M/256=31250Hz,在T3计时器没有溢出的前提下所记的时间t=65536*31250*10-6=2048ms,而题目要求脉冲宽度≥10ms,所以这个精度就够了。

每隔一秒读取寄存器的值为value,则脉冲宽度=value*31250*10-5。

3.2频率与周期测量

脉冲宽度的测量用的是AVRmege128单片机内部16位定时器T1的输入捕获功能,将脉冲信号的上升沿捕获,在捕获中断函数里将变量F自加,另外利用T1定时器的定时功能每一秒读一次变量F的值,即所测信号的频率为F,周期为1/F。

3.3刷新时间

系统刷新时间的显示是通过键盘设定,LCD12864显示的,当控制键盘按下时有键值,软件程序通过判断键值是否大于10来刷新数据并实时显示。

当键值小于等于10时,在1~10s每设定任何一个整数刷新时间,输入一个信号,系统会在设定的刷新时间值内由LCD12864实时显示输入信号的频率、周期、脉冲宽度以及刷新时间值;当键值大于10时,程序跳出刷新时间函数,执行另外的程序函数。

本系统刷新时间的设定与显示缺点在于,键盘只能在1~10s内设定整数值,相应的LCD12864也只能显示1~10s内的整数秒值,可自动加减,但是不能在1~10s内连续可调,并显示。

4硬件设计

4.1稳压电源电路设计

作为一个电路系统来说,电源当然是必不可少的。

从220V、50HZ市电取电,经过18V变压器进行降压,得到18V的交流电,再通过整流、滤波、稳压电路,获得所需的直流电压。

通过分析可知本系统需要多个电源,单片机的工作电压为5V,提供给OP07、NE5532的工作电压为±15V等。

因此,采用三端稳压器7815、7915、7805和7905制作稳压电源,提供各器件各模块所需的电压。

电源电路如下图4所示:

 

图4稳压电源电路

电源对于滤波电容的选择,要考虑三点:

①整流管的压降;②7815/7915最小允许压降Ud;③电网波动10%。

由此而计算得允许纹波的峰-峰值:

按近似电流放电计算,并设⊙=

(通角),则C=

故选取滤波电容C=2200uF/30V。

电源计算允许最大纹波峰-峰值:

故选取滤波电容C=4700uF/16V。

4.2主控制电路设计

本简易数字频率计系统基于单片机AVRmege128为核心控制器,利用其内部定时器/计数器捕获脉冲信号上升下降沿,以及时间,并进行计数。

其电路原理图如下图5所示:

图5主控制电路

4.3波形变换电路设计

由于输入信号的幅值范围在0.5V-5.0V,所以要对输入信号进行放大。

由于输入信号有正弦波、三角波等,单片机所能识别的是TTL电平,即只能是数字信号的方波,所以正弦波在输入单片机之前必须通过放大整形电路变成脉冲波。

本系统波形变换电路采用集成运放OP07和NE5532等组成,OP07对输入信号进行放大,NE5532构成施密特触发器,对放大信号进行整形。

其电路如下图6所示:

 

图6波形变换电路

4.4倍频放大电路设计

本系统设计的频率计数为1Hz~10KHz。

当频率较高时,信号经过波形变换,无需倍频就可输出,然而当频率小于100Hz时,需要经过倍频放大后输出以便于以便于定时器/计数器捕获脉冲信号,并进行计数,这就需要通过继电器的控制。

为便于捕获,提高精度的测量,系统设计采用100倍频,将小于100Hz的频率放大100倍。

该模块由锁相环CD4046和10位的计数器CD4518组成,具体实现电路如下图7所示:

图7倍频放大电路

4.5键盘电路设计

在系统设计中,需要运用到键盘的地方较多,为了满足设计需要,我们选用4×4矩阵键盘。

系统设计利用键值扫描来判断是否有键按下,并确定键值。

4×4矩阵键盘的电路原理图如下图8所示:

图8键盘电路

4.6显示电路设计

本系统设计用十进制数,六位数显示输入信号的频率值、周期值、脉冲宽度以及刷新时间值,所以显示电路是少不了的。

这里采用LCD12864液晶屏进行显示,LCD12864的驱动电路已经在模块内集成好了,且外围电路设计非常简单,占用较少的单片机I/O口。

本系统LCD12864接与单片机的PB口,电路如下图9所示:

 

图9显示电路

5软件设计

对于单片机控制系统来说,软件系统的设计是必不可少的,这里采用ICCV7forAVR软件为开发平台,C语言为程序设计语言,以模块化结构进行程序设计。

程序各部分分别做成模块,主程序做成不同的界面,各模块按主程序调用子程序的方式执行。

软件实现的功能有:

定时器捕获脉冲信号、键盘控制、继电器切换、LCD显示等。

主函数流程图如下图10所示,测量频率、周期、脉冲宽度的程序如下图11所示。

图10主程序流程图

图11测量频率、周期(左)和脉冲宽度流程图(右)

6系统测试

6.1测试条件

根据题目各项技术指标要求对系统作了全面的测试。

自制的稳压电源给系统供电,通过键盘控制刷新时间的调节和进行显示模式的切换,由LCD12864实时显示频率、周期、脉冲宽度以及刷新时间。

系统容易受到温度和强磁场的干扰,测试时必须在室温且无强磁场干扰的条件下进行,并要确保供电电源的稳定性。

6.2测试仪器

测试使用的仪器设备如下表1所示:

表1测试使用仪器与设备

序号

仪器名称型号、规格

主要技术指标

数量

1

模拟示波器GDS-2062

60MHz、1GS∕s

1

2

EE1642C型函数信号发生器/计数器

——

1

3

变压器

18V×2

1

4

数字万用表FLUKE111

4位

2

5

智能计算器

82MsADG98110

1

6.3测试方法和测试结果及分析

1、频率、周期的测量

当函数信号发生器输入信号为正弦波,电压设定为0.49V时。

制作的简易数字频率计用LCD12864显示的频率、周期如下表2所示:

表2频率、周期测量数据表

数据记录(室温)5℃测量时间:

2013年12月10日

序号/项目

设定频率f0

设定周期T0

实测频率f

实测周期T

频率误差

周期误差

1

1.402Hz

0.713s

001.400Hz

0714.33ms

0.14%

0.19%

2

11.981Hz

0.084s

011.980Hz

0083.52ms

0.008%

0.06%

3

509.36Hz

0.002s

00509.0Hz

0002.01ms

0.07%

0.5%

4

9.9944KHz

0.100ms

09997.0Hz

00000.1ms

0.03%

0%

系统测试时主要由函数信号发生器输入信号,采用LCD12864显示的频率、周期值与输入信号给定的频率、周期对比可分析出制作的简易数字频率计测外界信号时频率、周期的精度,即测量误差是否满足≤1%的要求。

利用误差测量公式Wf%=|f-f0|/f,WT%=|T-T0|/T即可算出频率与周期的测量误差。

由上述数据可知,频率、周期的测量误差满足题目要求的≤1%。

当函数信号发生器输入信号为方波,电压设定在0.5~5V范围内时也能满足题目设计要求,这里数据没有附加。

整个操作测量过程,键盘设定1~10s的刷新时间都可以实现。

2、脉冲宽度测量

脉冲宽度测量时由函数信号发生器输入信号,通过对比示波器上显示的脉冲宽度值t0和LCD12864液晶显示的脉冲宽度值t,可分析出设计的简易数字频率计测量脉冲信号时测量误差是否≤1%,且是否满足≥10ms的要求。

脉冲宽度测量见下表3所示:

表3脉冲宽度测量数据表

数据记录(室温)8℃测量时间:

2013年12月10日

序号/项目

电压设定

频率设定

脉宽t0

脉宽t

脉宽误差Wt%

1

0.49V

9.507Hz

52.41ms

0052.41ms

0%

2

2.07V

9.507Hz

52.40ms

0052.42ms

0.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 幼儿教育 > 少儿英语

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1