EDA实验箱原理图 康芯科技.docx

上传人:b****4 文档编号:3733146 上传时间:2022-11-25 格式:DOCX 页数:38 大小:1.19MB
下载 相关 举报
EDA实验箱原理图 康芯科技.docx_第1页
第1页 / 共38页
EDA实验箱原理图 康芯科技.docx_第2页
第2页 / 共38页
EDA实验箱原理图 康芯科技.docx_第3页
第3页 / 共38页
EDA实验箱原理图 康芯科技.docx_第4页
第4页 / 共38页
EDA实验箱原理图 康芯科技.docx_第5页
第5页 / 共38页
点击查看更多>>
下载资源
资源描述

EDA实验箱原理图 康芯科技.docx

《EDA实验箱原理图 康芯科技.docx》由会员分享,可在线阅读,更多相关《EDA实验箱原理图 康芯科技.docx(38页珍藏版)》请在冰豆网上搜索。

EDA实验箱原理图 康芯科技.docx

EDA实验箱原理图康芯科技

 

EDA/SOPC技术实验讲义

第一版(2008)

 

 

杭州康芯电子有限公司

www.kx-

 

配套资料使用说明

一、设备配件

1、电源线一根

2、25芯并口下载线一根

3、十芯JTAG口线一根

4、USB下载线一根

5、USB下载器一个

6、RS232串口线一根

7、单口红色小线若干根

8、配套讲义一本

二、配套资料使用使用说明

为了使您更好更快地了解和使用本公司产品,本公司特录制了音像资料,在这里做相关说明:

1、本公司EDA/SOPC产品的主系统主要GW48-PK2S/PK3/PK4,适配板GWAC6/AC12/GW2C35等,如您定购的设备主系统型号是GW48-PK3,适配板地的型号是GWAC6,那么给您提供的光盘里文件夹:

GW48-PK3+1C6_12;

2、在每个主文件夹有如下文件:

●在您对本公司产品还不了解,可以打开“系统特色及功能说明”;

●“EDA_BOOK3_FOR_1C6(或2C5)”文件夹是科学出版社出版的《EDA实用教程》配套例程,每个配套例程都有音视或PPT说明;

●如您设备计算机组成原理,那么”CT_BOOK1_FOR_1C6_12(或2C35)”就是《现代计算机组成原理》教材的配套例程;

●“原理图”文件夹里的文件主要是是设备主系统及适配板的原理图,包括1C6/2C35/ADDA/SRAM/FLASH等。

●在“A_FILE”文件夹里,包括所有设备上液晶的使用手册和技术参数;如您的设备配有“DDS函数发生器”模块,此模块具体使用说明在此文件夹里,“Guagle.wave”是任意波生成器软件,在使用“DDS函数发生器”可使用到此软件

●本公司每套设备都配有经典演示示例,具体演示文件及说明在“系统经典示例及说明”文件夹里。

3、改进后的设备PK2S/PK4可增配全数字DDS函数发生器模块,这给需要此功能的用户带来了

3、如您想对QuartusII软件及康芯GW48系列EDA设备快速的了解,可以打开“软硬件操作流程_实验1计数器”文件夹,里面详尽地介绍了从软件建工程—仿真-锁定引脚-硬件下载测试等一系列操作方法。

4、为了使您对VHDL语法讲解方便,本公司专门录制了VHDL培训音视资料,具体在文件夹”康芯EDA_VHDL培训音视资料”文件夹里。

 

目录

第一章实验系统概要说明

一、GW48教学实验系统原理与使用介绍……………………………………..

二、实验电路结构图数明

三、实验电路结构图

四、超高速A/D、D/A板GW_ADDA说明

五、步进电机和直流电机使用说明

六、SOPC适配板使用说明

七、GWX400适配板简要说明

八、GW48CK/PK2/PK3/PK4系统万能接插口与结构图信号/与芯片引脚对照表

第二章软硬件操作流程

2.1十进制计数器流程

2.1.1建立工作文件25

2.1.2创建工程26

2.1.3编译前设置27

2.1.5时序仿真29

2.1.6引脚设置和下载30

2.1.7配置文件下载31

2.1.8编程配置器件32

第三章EDA_VHDL实验/设计与电子设计竞赛

1-1、应用QuartusII完成基本组合电路设计

1-2.应用QuartusII完成基本时序电路的设计

61-3.设计含异步清0和同步时钟使能的加法计数器

71-4.7段数码显示译码器设计

81-5.8位数码扫描显示电路设计

91-6.数控分频器的设计

101-7.32位并进/并出移位寄存器设计

101-8.在QuartusII中用原理图输入法设计8位全加器

111-9.在QuartusII中用原理图输入法设计较复杂数字系统

111-10.用QuartusII设计正弦信号发生器

131-11.8位16进制频率计设计

161-12.序列检测器设计

161-13.VHDL状态机A/D采样控制电路实现

181-14.数据采集电路和简易存储示波器设计

191-15.比较器和D/A器件实现A/D转换功能的电路设计

201-16移位相加硬件乘法器设计

241-17采用流水线技术设计高速数字相关器

241-18线性反馈移位寄存器设计

251-19乐曲硬件演奏电路设计

281-20乒乓球游戏电路设计

321-21循环冗余校验(CRC)模块设计

331-22.FPGA步进电机细分驱动控制设计(电子设计竞赛赛题)

341-23.FPGA直流电机PWM控制实验

351-24.VGA彩条信号显示控制器设计

371-25.VGA图像显示控制器设计

371-26.清华大学学生基于GW48PK2系统VGA图像显示控制器设计示例5则

381-27.直接数字式频率合成器(DDS)设计实验(电子设计竞赛赛题)

391-28.嵌入式锁相环PLL应用实验

411-29.使用嵌入式锁相环的DDS设计实验(200MHz超高速DAC的PLL测试

421-30.基于DDS的数字移相信号发生器设计(电子设计竞赛赛题)

451-31.采用超高速A/D的存储示波器设计(含PLL,电子设计竞赛赛题)

461-32.信号采集与频谱分析电路设计(电子设计竞赛赛题)

461-33.等精度数字频率/相位测试仪设计实验(电子设计竞赛赛题)

481-34.FPGA与单片机联合开发之isp单片机编程方法

491-35.测相仪设计(电子设计竞赛赛题)

501-36.PS/2键盘鼠标控制电子琴模块设计

501-37.PS/2鼠标与VGA控制显示游戏模块设计

501-38.FPGA_单片机_PC机双向通信测频模块设计

501-39.10路逻辑分析仪设计(电子设计竞赛赛题)

511-40.IP核:

数控振荡器NCO应用设计

521-41.IP核:

FIR数字滤波器应用设计

531-42.IP核:

FFT应用设计

531-43.IP核:

CSCVGA至电视色制互转模块应用设计

541-44.IP核:

嵌入式逻辑分析仪SignalTapII调用

551-45.USB与FPGA通信实验

105第五章液晶接口实验

1055-1GDM12864A液晶显示模块接口开发

1115-2HS162-4液晶显示模块与单片机的接口

1145-3G240-128A液晶显示模块的接口

115第六章CPU及其结构组件设计实验

1156-1复杂指令CPU设计

1226-28051/89C51单片机核于FPGA中实现实验

124第七章模拟EDA实验

1247-1模拟EDA实验及其设计软件使用向导(PAC_Designer使用)

1247-2基于ispPAC80的5阶精密低通滤波器设计

1267-3基于ispPAC10的直流增益为9的放大器设计

 

GW48EDA/SOPC实验系统概要说明说明

第一节GW48教学实验系统原理与使用介绍

一、GW48系统使用注意事项(用户必读!

●闲置不用GW48系统时,必须关闭电源!

●在实验中,当选中某种模式后,要按一下右侧的复位键,以使系统进入该结构模式工作。

注意此复位键仅对实验系统的监控模块复位,而对目标器件FPGA没有影响,FPGA本身没有复位的概念,上电后即工作,在没有配置前,FPGA的I/O口是随机的,故可以从数码管上看到随机闪动,配置后的I/O口才会有确定的输出电平。

●换目标芯片时要特别注意,不要插反或插错,也不要带电插拔,确信插对后才能开电源。

其它接口都可带电插拔。

请特别注意,尽可能不要随意插拔适配板,及实验系统上的其他芯片。

●未用到+/-12V时,请务必把右上角的开关关闭,指示灯亮时开,不亮时关。

康芯GW48系列EDA设备较以前有较大的改进,每个型号详细说明在提供的关盘“系统特色及功能说明”文件夹里。

使用实验系统前,查阅此文件夹.以下将详述GW48系列SOPC/EDA实验开发系统(GW48-PK2/PK3/PK4)结构与使用方法,对于这3种型号的共同之处将给予说明。

●Multi-taskReconfiguration电路结构(多功能重配置结构)

该电路结构能仅通过一个键,完成纯电子切换(有的产品只能通过许多机械开关手动

切换)的方式选择十余种不同的实验系统硬件电路连接结构,大大提高了实验系统的连线灵活性,但又不影响系统的工作速度(手工插线方式虽然灵活,但会影响系统速度和电磁兼容性能,不适合高速FPGA/SOPC等电子系统实验设计)。

该系统的实验电路结构是可控的。

即可通过控制接口键,使之改变连接方式以适应不同的实验需要。

因而,从物理结构上看,实验板的电路结构是固定的,但其内部的信息流在主控器的控制下,电路结构将发生变化---重配置。

这种“多任务重配置”设计方案的目的有3个:

1、适应更多的实验与开发项目;2、适应更多的PLD公司的器件;3、适应更多的不同封装的FPGA和CPLD器件。

系统板面主要部件及其使用方法说明如下。

以下是对GW48系统主板功能块的注释。

“模式选择键”:

按动该键能使实验板产生12种不同的实验电路结构。

这些结构如第二节的13张实验电路结构图所示。

例如选择了“NO.3”图,须按动系统板上此键,直至数码管“模式指示”数码管显示“3”,于是系统即进入了NO.3图所示的实验电路结构。

附图1.1GW48EDA系统的标准插座及不同公司二次开发信号图

●FPGA/CPLD万能插口

这是一块插于主系统板上的目标芯片适配座。

对于不同的目标芯片可配不同的适配座。

可用的目标芯片包括目前世界上最大的六家FPGA/CPLD厂商几乎所有CPLD、FPGA和所有ispPAC等模拟EDA器件。

每个脚本公司已经定义标准化,第七节的表中已列出多种芯片对系统板引脚的对应关系,以利在实验时经常查用。

●ByteBlasterMV编程配置口:

此口有三个用途:

1、在对适配板FPGA/CPLD进行编程时,用十芯线板此口和适配板的“JTAG”口相连。

2、如果要进行独立电子系统开发、应用系统开发、电子设计竞赛等开发实践活动,首先应该将系统板上的目标芯片适配座拔下(对于Cyclone器件不用拔),用配置的10芯编程线将“ByteBlasterMV”口和独立系统上适配板上的“JTAG”10芯口相接,进行在系统编程,进行调试测试。

“ByteBlasterMV”口能对不同公司,不同封装的CPLD/FPGA进行编程下载。

3、对isp单片机89S51等进行编程。

用十芯线同“MCUDAWNLOAD”口相连。

●混合工作电压源:

系统不必通过切换即可为CPLD/FPGA目标器件提供5V、3.3V、2.5V、1.8V和1.5V工作电源,此电源位置可参考附图1。

●主系统电路控制说明

(1)键1~键8:

为实验信号控制键,此8个键受“多任务重配置”电路控制,它在每一张电路图中的功能及其与主系统的连接方式随模式选择键的选定的模式而变,使用中需参照第二节中的电路图。

注意,键1至键8是由“多任务重配置”电路结构控制的,所以键的输出信号没有抖动问题,不需要在目标芯片的电路设计中加入消抖动电路,这样,能简化设计,迅速入门。

(2)数码管1~8/发光管D1~D16:

受“多任务重配置”电路控制,它们的连线形式也需参照第二节的电路图。

(3)“时钟频率选择”:

位于主系统的右小侧,通过短路帽的不同接插方式,使目标芯片获得不同的时钟频率信号。

对于“CLOCK0”,同时只能插一个短路帽,以便选择输向“CLOCK0”的一种频率:

信号频率范围:

0.5Hz–50MHz。

由于CLOCK0可选的频率比较多,所以比较适合于目标芯片对信号频率或周期测量等设计项目的信号输入端。

右侧座分三个频率源组,它们分别对应三组时钟输入端:

CLOCK2、CLOCK5、CLOCK9。

例如,将三个短路帽分别插于对应座的2Hz、1024Hz和12MHz,则CLOCK2、CLOCK5、CLOCK9分别获得上述三个信号频率。

需要特别注意的是,每一组频率源及其对应时钟输入端,分别只能插一个短路帽。

也就是说最多只能提供4个时钟频率输入FPGA:

CLOCK0、CLOCK2、CLOCK5、CLOCK9。

(4)扬声器:

与目标芯片的“SPEAKER”端相接,通过此口可以进行奏乐或了解信号的频率,它与目标器件的具体引脚号,应该查阅附录第3节的表格。

(5)PS/2接口:

通过此接口,可以将PC机的键盘和/或鼠标与GW48系统的目标芯片相连,从而完成PS/2通信与控制方面的接口实验,GW48-PK2/3含2个PS/2接口,引脚连接情况参见实验电路结构NO.5(附图7)。

(6)VGA视频接口:

通过它可完成目标芯片对VGA显示器的控制。

详细连接方式参考附图7(对GW48-PK2/3主系统),或附图13(GW48-CK主系统)。

(7)单片机接口器件:

它与目标板的连接方式也已标于主系统板上:

连接方式可参见附图11。

注1、GW48-PK3系统上的用户单片机89S51的各引脚是独立的(时钟已接12MHz),没有和其他任何电路相连,在单片机上端给出了4个14芯的座,第2、4座分别是单片机的部分引脚,此单片机剩余引脚在其左边以插针方式给出,第1、3座分别是FPGA和20*4字符液晶的引脚,所有引脚号都在黑座旁标出,实验时根据需要用提供的14芯线连接,如用单片机控制液晶,就用此线将2和3口短接,或4和3口,用FPGA控制液晶,就将1、3口连接。

(8)RS-232串行通讯接口:

此接口电路是为FPGA与PC通讯和SOPC调试准备的。

或使PC机、单片机、FPGA/CPLD三者实现双向通信。

对于GW48-PK2/3系统,其通信端口是与中间的双排插座上的TX30、RX31相连的。

详细连接方式参考附图11(对GW48PK2/3主系统),或附图13(对GW48-CK主系统)。

(9)“AOUT”D/A转换:

利用此电路模块,用时口插在实验板右下侧,可以完成FPGA/CPLD目标芯片与D/A转换器的接口实验或相应的开发。

它们之间的连接方式可参阅附图7(实验电路结构NO.5):

D/A的模拟信号的输出接口是“AOUT”,示波器可挂接左下角的两个连接端。

当使能拨码开关8:

“滤波1”时,D/A的模拟输出将获得不同程度的滤波效果。

注意,进行D/A接口实验时,需打开系统上侧的+/-12V电源开关(实验结束后关上此电源!

)。

(10)“AIN0”/“AIN1”:

外界模拟信号可以分别通过系统板左下侧的两个输入端“AIN0”和“AIN1”进入A/D转换器ADC0809的输入通道IN0和IN1,ADC0809与目标芯片直接相连。

通过适当设计,目标芯片可以完成对ADC0809的工作方式确定、输入端口选择、数据采集与处理等所有控制工作,并可通过系统板提供的译码显示电路,将测得的结果显示出来。

此项实验首先需参阅第二节的“实验电路结构NO.5”有关0809与目标芯片的接口方式,同时了解系统板上的接插方法以及有关0809工作时序和引脚信号功能方面的资料。

注意:

不用0809时,需将左下角的拨码开关的“A/D使能”和“转换结束”打为禁止:

向上拨,以避免与其他电路冲突。

ADC0809A/D转换实验接插方法(如,附图7,实验电路结构NO.5图所示):

1.下侧拨码开关的“A/D使能”和“转换结束”拨为使能:

向下拨,即将ENABLE(9)与PIO35相接;若向上拨则禁止,即则使ENABLE(9)0,表示禁止0809工作,使它的所有输出端为高阻态。

2.下侧拨码开关的“转换结束”使能,则使EOC(7)PIO36,由此可使FPGA对ADC0809的转换状态进行测控。

(11)VR1/“AIN1”:

VR1电位器,通过它可以产生0V~+5V幅度可调的电压。

其输入口是0809的IN1(与外接口AIN1相连,但当AIN1插入外输入插头时,VR1将与IN1自动断开)。

若利用VR1产生被测电压,则需使0809的第25脚置高电平,即选择IN1通道,参考“实验电路结构NO.5”。

(12)AIN0的特殊用法:

系统板上设置了一个比较器电路,主要以LM311组成。

若与D/A电路相结合,可以将目标器件设计成逐次比较型A/D变换器的控制器件参考“实验电路结构NO.5”。

(13)系统复位键:

此键是系统板上负责监控的微处理器的复位控制键,同时也与接口单片机和LCD控制单片机的复位端相连。

因此兼作单片机的复位键。

(14)下载控制开关:

(仅老式系统含此开关)在系统板的左侧的开关。

当需要对实验板上的目标芯片下载时必须将开关向上打(即“DLOAD”);而当向下打(LOCK)时,将关闭下载口,这时可以将下载并行线拔下而作它用(这时已经下载进FPGA的文件不会由于下载口线的电平变动而丢失)。

(15)目标芯片万能适配座CON1/2:

在目标板的下方有两条80个插针插座(GW48-CK系统),其连接信号如附图1所示,此图为用户对此实验开发系统作二次开发提供了条件。

(16)+/-12V电源开关:

在实验板左上角。

有指示灯。

电源提供对象:

1)与082、311及DAC0832等相关的实验;2)模拟信号发生源;3)GW48-DSP/DSP+适配板上的D/A及参考电源;此电源输出口可参见附图1。

平时,此电源必须关闭!

(17)模式切换使用举例:

若模式键选中了“实验电路结构图NO.1”,这时的GW48系统板所具有的接口方式变为:

FPGA/CPLD端口PI/O31~28(即PI/O31、PI/O30、PI/O29、PI/O28)、PI/O27~24、PI/O23~20和PI/O19~16,共4组4位二进制I/O端口分别通过一个全译码型7段译码器输向系统板的7段数码管。

这样,如果有数据从上述任一组四位输出,就能在数码管上显示出相应的数值,其数值对应范围为:

FPGA/CPLD输出

0000

0001

0010

1100

1101

1110

1111

数码管显示

0

1

2

C

D

E

F

端口I/O32~39分别与8个发光二极管D8~D1相连,可作输出显示,高电平亮。

还可分别通过键8和键7,发出高低电平输出信号进入端口I/049和48;键控输出的高低电平由键前方的发光二极管D16和D15显示,高电平输出为亮。

此外,可通过按动键4至键1,分别向FPGA/CPLD的PIO0~PIO15输入4位16进制码。

每按一次键将递增1,其序列为1,2,…9,A,…F。

注意,对于不同的目标芯片,其引脚的I/O标号数一般是同GW48系统接口电路的“PIO”标号是一致的(这就是引脚标准化),但具体引脚号是不同的,而在逻辑设计中引脚的锁定数必须是该芯片的具体的引脚号。

具体对应情况需要参考第3节的引脚对照表。

第二节实验电路结构图说明

1.实验电路信号资源符号图说明

结合附图2-1,以下对实验电路结构图中出现的信号资源符号功能作出一些说明:

附图2.1实验电路信号资源符号图

(1)附图2-1a是16进制7段全译码器,它有7位输出,分别接7段数码管的7个显示输入端:

a、b、c、d、e、f和g;它的输入端为D、C、B、A,D为最高位,A为最低位。

例如,若所标输入的口线为PIO19~16,表示PIO19接D、18接C、17接B、16接A。

(2)附图2-1b是高低电平发生器,每按键一次,输出电平由高到低、或由低到高变化一次,且输出为高电平时,所按键对应的发光管变亮,反之不亮。

(3)附图2A-1c是16进制码(8421码)发生器,由对应的键控制输出4位2进制构成的1位16进制码,数的范围是0000~1111,即^H0至^HF。

每按键一次,输出递增1,输出进入目标芯片的4位2进制数将显示在该键对应的数码管上。

(4)直接与7段数码管相连的连接方式的设置是为了便于对7段显示译码器的设计学习。

以图NO.2为例,如图所标“PIO46-PIO40接g、f、e、d、c、b、a”表示PIO46、PIO45..PIO40分别与数码管的7段输入g、f、e、d、c、b、a相接。

(5)附图2-1d是单次脉冲发生器。

每按一次键,输出一个脉冲,与此键对应的发光管也会闪亮一次,时间20ms。

(6)附图2-1e是琴键式信号发生器,当按下键时,输出为高电平,对应的发光管发亮;当松开键时,输出为高电平,此键的功能可用于手动控制脉冲的宽度。

具有琴键式信号发生器的实验结构图是NO.3。

2.各实验电路结构图特点与适用范围简述

(1)结构图NO.0:

目标芯片的PIO19至PIO44共8组4位2进制码输出,经外部的7段译码器可显示于实验系统上的8个数码管。

键1和键2可分别输出2个四位2进制码。

一方面这四位码输入目标芯片的PIO11~PIO8和PIO15~PIO12,另一方面,可以观察发光管D1至D8来了解输入的数值。

例如,当键1控制输入PIO11~PIO8的数为^HA时,则发光管D4和D2亮,D3和D1灭。

电路的键8至键3分别控制一个高低电平信号发生器向目标芯片的PIO7至PIO2输入高电平或低电平,扬声器接在“SPEAKER”上,具体接在哪一引脚要看目标芯片的类型,这需要查第3节的引脚对照表。

如目标芯片为FLEX10K10,则扬声器接在“3”引脚上。

目标芯片的时时钟输入未在图上标出,也需查阅第3节的引脚对照表。

例如,目标芯片为XC95108,则输入此芯片的时钟信号有CLOCK0至CLOCK9,共4个可选的输入端,对应的引脚为65至80。

具体的输入频率,可参考主板频率选择模块。

此电路可用于设计频率计,周期计,计数器等等。

(2)结构图NO.1:

适用于作加法器、减法器、比较器或乘法器等。

例如,加法器设计,可利用键4和键3输入8位加数;键2和键1输入8位被加数,输入的加数和被加数将显示于键对应的数码管4-1,相加的和显示于数码管6和5;可令键8控制此加法器的最低位进位。

(3)结构图NO.2:

可用于作VGA视频接口逻辑设计,或使用数码管8至数码管5共4个数码管作7段显示译码方面的实验;而数码管4至数码管1,4个数码管可作译码后显示,键1和键2可输入高低电平。

(4)结构图NO.3:

特点是有8个琴键式键控发生器,可用于设计八音琴等电路系统。

也可以产生时间长度可控的单次脉冲。

该电路结构同结构图NO.0一样,有8个译码输出显示的数码管,以显示目标芯片的32位输出信号,且8个发光管也能显示目标器件的8位输出信号。

(5)结构图NO.4:

适合于设计移位寄存器、环形计数器等。

电路特点是,当在所设计的逻辑中有串行2进制数从PIO10输出时,若利用键7作为串行输出时钟信号,则PIO10的串行输出数码可以在发光管D8至D1上逐位显示出来,这能很直观地看到串出的数值。

(6)结构图NO.5:

此电路结构有较强的功能,主要用于目标器件与外界电路的接口设计实验。

主要含以9大模块:

注意,结构图NO.5中并不是所有电路模块都可以同时使用,这是因为各模块与目标器件的IO接口有重合:

(7)结构图NO.6:

此电路与NO.2相似,但增加了两个4位2进制数发生器,数值分别输入目标芯片的PIO7~PIO4和PIO3~PIO0。

例如,当按键2时,输入PIO7~PIO4的数值将显示于对应的数码管2,以便了解输入的数值。

(8)结构图NO.7:

此电路适合于设计时钟、定时器、秒表等。

因为可利

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1