Modelsim简单教程.docx

上传人:b****5 文档编号:3618351 上传时间:2022-11-24 格式:DOCX 页数:12 大小:600.57KB
下载 相关 举报
Modelsim简单教程.docx_第1页
第1页 / 共12页
Modelsim简单教程.docx_第2页
第2页 / 共12页
Modelsim简单教程.docx_第3页
第3页 / 共12页
Modelsim简单教程.docx_第4页
第4页 / 共12页
Modelsim简单教程.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

Modelsim简单教程.docx

《Modelsim简单教程.docx》由会员分享,可在线阅读,更多相关《Modelsim简单教程.docx(12页珍藏版)》请在冰豆网上搜索。

Modelsim简单教程.docx

Modelsim简单教程

Modelsim入门技术文档

单位:

深圳大学EDA中心实验室指导老师:

徐渊

作者:

陈战夫rshamozhihu@时间:

2010-1-7

说明:

本文档作为EDA中心研究生modelsim入门用。

分三个章节阐述。

1.modelsim中库的编译

1.自己新建一个文件夹,如D:

\modelsimpro\counter,将counter.v与counter_tb.v文件拷贝到该文件夹下。

2.点击modelsim的图标,打开modelsim的界面窗口。

3.选择File>ChangeDirectory,选择步骤1中的目录,点击OK。

4.选择File>New>Library。

5.在libraryname与libraryphysicalname两栏中均填work.点击OK。

(在transcript窗口中可看到vlibwork

vmapworkwork)。

6.选择Compile>Compile,出现如下窗口。

同时选中counter.v与counter_tb.v两个文件。

7.点击compile.再点击done.

8.在library标签点击work库前面的“+”号,可看到counter.v与counter_tb.v均在其目录下。

9.双击counter_tb.v可将该设计装载到本次工程库中。

10.选择View>DebugWindows>wave.将弹出波形窗口。

11.在workspace窗口下点击sim标签。

12.右击counter_tb.v,在出现的菜单中选择Add>AddtoWave。

13.在transcript窗口中VSIM>后敲入run1000.则系统将仿真1000ns.我们可根据波形查看仿真结果。

14.在workspace窗口中点击files标签,鼠标左键双击counter.v,打开counter.v文件。

15.在第10行数字10旁鼠标左键点击一下,可出现一小红点,即断点。

(再次右击断点处,在出现的菜单下选择removebreakpoint即可取消该断点的设置)。

16在transcript窗口中敲restart,点击restart.系统将重新仿真。

17.在在transcript窗口中敲run1000,可查看结果。

程序将在第10行处停下来。

出现如下所示。

18.点击

,可实现单步调试。

19,重新设置断点,仿真,配合单步调试,直到达到自己想要的调试和仿真结果。

 

2.modelsim中工程的编译

1.自己新建一个文件夹,如D:

\modelsimpro\counter1,将counter.v与counter_tb.v文件拷贝到该文件夹下。

2.点击modelsim的图标,打开modelsim的界面窗口。

3.选择File>ChangeDirectory,选择步骤1中的目录。

4.选择File>New>Project。

5.在projectname中填test,DefaultLibraryName中填work.点击OK。

6在出现的新窗口中点击AddExistingFile.

7.点击browse,选择D:

\modelsimpro\counter1\counter.v和D:

\modelsimpro\counter1\counter_tb.v.点击OK,点击close.

8.在workspace窗口中点击project标签,在任意空白处右击选择Compile>CompileAll。

9.点击library中work旁的“+“符号,可看到counter,counter_tb.

在transcript窗口中出现如下字样。

10.双击couter_tb.v进行工程的加载,出现窗口如下。

11.选择View>DebugWindows>wave.将弹出波形窗口。

12.右击counter_tb.v,在出现的菜单中选择Add>AddtoWave。

13.在transcript窗口中VSIM>后敲入run1000.则系统将仿真1000ns.我们可根据波形查看仿真结果。

14.在transcript窗口中敲入quit–sim,退出程序的仿真。

15.在workspace窗口中点击project标签,在任意空白处右击选择AddtoProject>Folder.

16在FolderName一栏中填入DesignFiles。

点击OK。

可看到图形如下:

16.在project标签中任意空白处再次右击选择AddtoProject>Folder.

17.在FoldName中敲入HDL,在FolderLocation一栏中选择DesignFiles。

点击OK。

18.点击DesignFiles左边的“+“号,可看到文件夹HDL在文件夹DesignFiles.

19.同时选中counter.v与counter_tb.v,右击选择Properties.

20.在PlaceInFolder中选择HDL,再点击OK,则counter.v与counter_tb.v两个文件都移到了文件夹HDL中。

21.在project标签中任意空白处右击选择AddtoProject>SimulationConfiguration.

22.SimulationConfigurationName敲入counter,在PlaceinFolder一栏中选择HDL。

23.在Resolution选择ps。

24.点击verilog,选择EnableHazardChecking.再点击OK。

25.双击counterSimulationConfiguration,在transcript窗口中可看到

26重复本章节中11步到13步骤。

26.在transcript窗口中敲入quit–sim,退出程序的仿真,敲入quit退出modelsim程序。

3.modelsim的自动编译和仿真

1.DO文件

1.自己新建一个文件夹,如D:

\modelsimpro\counter2,将counter.v与counter_tb.v文件拷贝到该文件夹下。

2.点击modelsim的图标,打开modelsim的界面窗口。

3.选择File>ChangeDirectory,选择步骤1中的目录。

4选择File>New>Library。

5在libraryname与libraryphysicalname两栏中均填work.点击OK。

(在transcript窗口中可看到vlibwork

vmapworkwork)。

6.选择Compile>Compile,出现如下窗口。

同时选中counter.v与counter_tb.v两个文件。

4.选择File>New>Source>Do,创建一个DO文件。

在窗口中敲入以下命令行:

addwavecount

addwaveclk

addwavereset

force-freezeclk00,1{50ns}-r100

forcereset1

run100

forcereset0

run300

forcereset1

run400

forcereset0

run200

4.选择File>SaveAs.文件名命名为sim.do,保存到步骤1中的目录。

5.双击counter_tb.v,进行文件的装载。

6.在在transcript窗口中敲入dosim.do,我们便可看到仿真结果。

7.选择File>Quit,退出modelsim.

2.脚本文件

1.自己新建一个文件夹,如D:

\modelsimpro\counter3,将counter.v与counter_tb.v以及stim.do文件拷贝到该文件夹下。

2.在电脑桌面选择开始>所有程序>附件>命令提示符,进入DOS命令窗口。

3.分别先后敲入命令d:

回车,cdmodelsimpro回车cdcounter3,回车,进入步骤1中的目录。

4敲入vlibwork。

5再敲入vmapworkwork.

6再敲入vlogcounter.v,对文件counter.v进行编译。

7打开一个文本编辑器(UE或记事本),在里面敲入以下命令:

#listallsignalsindecimalformat

addlist-decimal*

#readinstimulus

dostim.do

#outputresults

writelistcounter.lst

#quitthesimulation

quit–f

8.保存到步骤1中的目录下,文件名命名为sim.do.

9在DOS命令窗口中敲以下命令:

vsim-c-dosim.docounter-wlfcounter.wlf

10.打开counter.lst,便可看到以下结果。

ns/counter/clk

delta/counter/dout

/counter/reset_n

0+0z0x

0+1z00

50+0-100

100+0000

100+10*0

150+0-1*1

200+00*1

250+0-1*2

300+00*2

350+0-1*3

400+00*3

450+0-1*4

500+00*4

550+0-1*5

600+00*5

650+0-1*6

…….

11.在DOS命令窗口中再敲入命令vsim-viewcounter.wlf。

12.右击counter,选择Add>AddtoWave.便可看波形窗口中看到仿真波形。

13.选择选择File>Quit,退出modelsim.

2.TCL语言

1.自己新建一个文件夹,如D:

\modelsimpro\counter4,将counter.v与counter_tb.v文件拷贝到该文件夹下。

2.新打开一个编辑器,在里面敲入以下字符:

procadd_wave_zoom{stimenum}{

echo"Bookmarkingwave$num"

bookmarkaddwave"bk$num""[expr$stime-50][expr$stime+100]"0

addbutton"$num"[listbookmarkgotowavebk$num]

}

addwave-r/*

when{clk'eventandclk="1"}{

echo"Countis[exadout]"

if{[exadout]=="00100111"}{

add_wave_zoom$now1

}elseif{[exadout]=="01000111"}{

add_wave_zoom$now2

}

}

保存到步骤1中的目录下,并命名为add_bkmrk.do

3点击modelsim的图标,打开modelsim的界面窗口。

4.选择File>ChangeDirectory,选择步骤1中的目录。

5.选择File>New>Library。

6在libraryname与libraryphysicalname两栏中均填work.点击OK。

(在transcript窗口中可看到vlibwork

vmapworkwork)。

7.选择Compile>Compile,出现如下窗口。

同时选中counter.v与counter_tb.v两个文件。

8.双击counter_tb.v进行文件的装载。

9.在命令窗口中敲入doadd_bkmrk.do。

10.再敲run1500ns,便可看仿真波形。

11.选择File>Quit,退出modelsim.

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 小学教育 > 小升初

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1