EDA技术教案范本.docx

上传人:b****3 文档编号:3515955 上传时间:2022-11-23 格式:DOCX 页数:15 大小:20.33KB
下载 相关 举报
EDA技术教案范本.docx_第1页
第1页 / 共15页
EDA技术教案范本.docx_第2页
第2页 / 共15页
EDA技术教案范本.docx_第3页
第3页 / 共15页
EDA技术教案范本.docx_第4页
第4页 / 共15页
EDA技术教案范本.docx_第5页
第5页 / 共15页
点击查看更多>>
下载资源
资源描述

EDA技术教案范本.docx

《EDA技术教案范本.docx》由会员分享,可在线阅读,更多相关《EDA技术教案范本.docx(15页珍藏版)》请在冰豆网上搜索。

EDA技术教案范本.docx

EDA技术教案范本

(首页)

北京城市学院

课程教案

 

课程名称

EDA技术

课程性质

专业必修

开课年度

2009年9至2010年1月

开课学期

秋季

授课班级

07电信本1、2、3+09电信升

主讲教师

柴文妍

课程所属学部

理工学部

课程所属教研室

电子信息工程

 

第一讲

第1周

日期:

2009.9.14/15

地点:

三教705

第1-2、6章

概述、EDA设计流程及其工具、原理图输入设计方法

教学目的:

初步了解EDA技术概貌,初步掌握EDA设计流程及其设计工具之一QUARTUSII的使用,初步掌握原理图输入设计方法的各个环节

教学重点:

(1)CPLD/FPAG基本概念(名词解释、CPLD功能及设计方法);

(2)图形设计法的设计过程--以半加器为例(设计、仿真)。

(3)层次电路的设计步骤(在全加器设计中或八位加法器设计中讨论)

教学难点:

图形设计法的设计过程

讲授主要内容:

CPLD/FPAG基本概念;

CPLD设计基本步骤举例----11个步骤中的注意事项(图形法设计半加器的设计过程详细讲解):

文件命名、存盘、项目管理、编译、仿真及结果分析、器件选择、打包入库、层次电路等等。

本次课主体教学方式、方法:

多媒体机房,精讲多练

布置作业

课堂练习:

一位全加器设计/八位加法器设计初步

保存设计结果,下周实验报告中要用到

教学后记

第二讲

第2周

日期:

09.9.21/22

地点:

三教705

第6章

原理图输入设计方法(续)

教学目的:

进一步掌握原理图输入设计方法

教学重点:

指导学生课上完成p167“实验与设计6-1”设计8位加法器(包括仿真和下载硬件检验)。

教学难点:

仿真信号输入设定及结果分析

讲授主要内容:

(1)数电基本知识复习、应用。

(2)8位加法器工作原理

(3)仿真信号输入设定及结果分析

本次课主体教学方式、方法:

多媒体机房,精讲多练,组织阶段性讨论

布置作业

实验报告8位加法器设计小结---包括从文件的建立、编译、到仿真、器件选择、管脚定义、编程下载全过程中各项菜单的使用情况,并给出仿真结果波形、管脚定义和下载结果纪录。

注:

要求每位同学交一份报告(两人一组时原始数据应有所区别)

教学后记

第三讲

第3周

日期:

09.9.29/30

地点:

三教705

第6章

原理图输入设计方法(续)

教学目的:

掌握用原理图输入设计方法设计复杂电路

教学重点:

频率计设计之第一部分“有时钟使能的2位十进制计数器”

教学难点:

原理分析、仿真信号输入设定及结果分析

讲授主要内容:

(1)数电基本知识复习、应用。

(2)频率计工作原理

(3)图形设计法中总线、标号的表示方式

(4)仿真输入信号的设置思路

(5)下载验证的基本步骤及注意事项

(6)查阅74390基本功能

本次课主体教学方式、方法:

多媒体机房,精讲多练,组织阶段性讨论

布置作业

课堂练习:

教材p156-157“有时钟使能的2位十进制计数器”

保存阶段性设计结果,待频率计全部设计完成后写出完整的实验报告

教学后记

十一放假一周

第4周

教学目的:

教学重点:

教学难点:

讲授主要内容:

本次课主体教学方式、方法:

布置作业

教学后记

第四讲

第5周

日期:

09.10.12/13

地点:

三教705

第6章

原理图输入设计方法(续)

教学目的:

掌握用原理图输入设计方法设计复杂电路

教学重点:

频率计设计之第二部分“频率计主结构电路设计”

教学难点:

原理分析、仿真信号输入设定及结果分析

讲授主要内容:

(1)频率计的基本工作原理复习;

(2)复习讨论数字电路中锁存的基本概念

(3)复习讨论数字电路中七段译码的基本概念;

(4)讨论静态共阴极七段数码管显示电路的工作原理;

(5)进一步掌握设计、仿真、下载的基本步骤;

(6)查阅7434、74248基本功能

本次课主体教学方式、方法:

多媒体机房,精讲多练,组织阶段性讨论

布置作业

课堂练习:

教材p158-159“频率计主结构电路设计”,

保存阶段性设计结果,待频率计全部设计完成后写出完整的实验报告

教学后记

第五讲

第6周

日期:

09.10.19/20

地点:

三教705

第6章

原理图输入设计方法

教学目的:

掌握用原理图输入设计方法设计复杂电路

教学重点:

频率计设计之第三、四部分“测频时序控制电路设计”“频率计顶层电路设计”

教学难点:

原理分析、仿真信号输入设定及结果分析

讲授主要内容:

(1)复习讨论计数器和译码器的工作原理;

(2)查阅7493、74154基本功能

(3)进一步掌握设计、仿真、下载的基本步骤;

(4)仿真输入信号的设置思路复习与实践

本次课主体教学方式、方法:

多媒体机房,精讲多练

布置作业

课堂练习:

教材p159-161“测频时序控制电路设计”、“频率计顶层电路设计”,

保存阶段性设计结果,待频率计全部设计完成后写出完整的实验报告

教学后记

课后完成:

保存阶段性设计结果,待频率计全部设计完成后写出完整的实验报告

第六讲

第7周

日期:

09.10.26/27

地点:

三教705

第6章

原理图输入设计方法

教学目的:

掌握用原理图输入设计方法设计复杂电路

教学重点:

频率计设计总结及应用

教学难点:

原理分析、仿真信号输入设定及结果分析

讲授主要内容:

(1)仿真输入信号的设置思路复习与实践

(2)进一步掌握设计、仿真、下载的基本步骤;

(3)设计信息了解

(4)频率计仿真补充要求:

设fCLK=8Hz,则CNT——EN脉宽为1s,数码管直接显示频率值。

在此条件下,分别设定待测信号fF_IN的半周期(GridSise)然后进行仿真,请将仿真测量结果填入下表并与所设fF_IN之值比较,说明仿真结果是否正确。

fclk=8Hz(gridsize=62.5ms)此时ctn_en脉宽为1s,则计数值就是频率值

fF_IN

GridSise

30ms

25ms

20ms

15ms

10ms

5ms

4ms

H[6..0]L[6..0]

q[7..4]q[3..0]

本次课主体教学方式、方法:

多媒体机房,精讲多练

布置作业

课堂练习:

补充要求__用所设计的频率计测量给定输入信号频率,完成仿真,

保存阶段性设计结果。

课后完成:

写出完整的频率计设计实验报告

教学后记

第七讲

第8周

日期:

09.11.2/3

地点:

三教705

第6章

原理图输入设计方法

教学目的:

学习LPM宏功能块应用

学习波形输入设计方法

教学重点:

学习LPM宏功能块应用方法

学习波形输入设计方法

教学难点:

LPM宏功能参数设定

波形输入设计时的输入输出关系确定

讲授主要内容:

1.LPM宏功能块应用方法、参数设定

(1)数控分频计原理及设计

*

(2)四位乘法器的设计

2.波形输入设计方法

3:

8译码器设计

本次课主体教学方式、方法:

多媒体机房,精讲多练

布置作业

课堂练习:

数控分频计原理及设计、*四位乘法器的设计、3:

8译码器设计

课后完成:

实验报告

教学后记

期中考试停课一周(本课程不安排期中考试)

第9周

教学目的:

教学重点:

教学难点:

讲授主要内容:

本次课主体教学方式、方法:

布置作业

教学后记

第八讲

第10周

日期:

09.11.16/17

地点:

三教705

第4章

VHDL设计初步

教学目的:

通过一些简单电路设计实例,学习VHDL设计方法,达到快速入门的目的

教学重点:

学习VHDL程序的基本结构和设计特点

教学难点:

VHDL程序的基本结构、语句表述、数据规则、语法特点初步

讲授主要内容:

1.介绍新概念----VHDL基本结构(实体、结构体)、基本概念(p70-77)

VHDL优秀特点:

电路描述与设计平台和硬件描述对象无关。

2.VHDL初步1—完成教材p64“多路选择器设计”并进行仿真验证,对比4-1、2、3的不同之处。

本次课主体教学方式、方法:

多媒体机房,精讲多练

布置作业

课堂练习:

多路选择器的不同VHDL设计4-1、2、3

课后完成:

实验报告

教学后记

第九讲

第11周

日期:

09.11.23/24

地点:

三教705

第4章

第8章

VHDL设计初步

VHDL结构与要素

教学目的:

通过一些简单电路设计实例,学习VHDL设计方法,达到快速入门的目的

教学重点:

学习VHDL实现时序逻辑电路设计的基本方法、注意事项

教学难点:

VHDL程序的基本结构、语句表述、数据规则、语法特点初步

讲授主要内容:

1.VHDL初步2—

(1)时序电路的VHDL实现

(2)不完整条件语句构成时序电路

(3)异步时序电路设计

本次课主体教学方式、方法:

多媒体机房,精讲多练

布置作业

课堂练习:

4-7、4-11、4-14、4-15、4-16,并讨论

教学后记

第十讲

第12周

日期:

09.11.30/12.1

地点:

三教705

第4章

第8章

VHDL设计初步

VHDL结构与要素

教学目的:

通过一些简单电路设计实例,学习VHDL设计方法,达到快速入门的目的

教学重点:

学习VHDL实现层次电路设计的基本方法,用VHDL进行全加器层次电路设计

教学难点:

VHDL程序的基本结构、语句表述、数据规则、语法特点初步

讲授主要内容:

1.VHDL初步3—

(1)用VHDL实现层次电路设计;

(2)例化语句基本结构;

(3)用例化语句构成层次电路

(4)课堂讨论case语句研究

(6)文本输入设计流程小结

本次课主体教学方式、方法:

多媒体机房,精讲多练

布置作业

课堂练习:

4-18、*4-19、4-20、*4-21、4-22,并讨论

课后完成:

实验报告,VHDL语法小结(结合第4、8章)

教学后记

第十一讲

第13周

日期:

09.12.7/8

地点:

三教705

第5章

第9章

VHDL设计进阶

VHDL基本语句

教学目的:

通过一些简单电路设计实例,学习VHDL设计方法,达到快速入门的目的

教学重点:

1.四位加法计数器设计

2.异步复位带使能端的十进制计数器

3.带有并行置位的移位寄存器设计

教学难点:

VHDL程序的基本结构、语句表述、数据规则、语法特点初步

设计电路的工作原理

讲授主要内容:

1.VHDL设计进阶1-

(1)数据类型(整数、自然数类型、正整数类型)。

(2)端口模式(四种)。

(3)运算符重载。

(4)计数器结构、原理。

(5)变量及其赋值。

本次课主体教学方式、方法:

多媒体机房,精讲多练

布置作业

课堂练习:

5-1、5-2、5-3并讨论

课后完成:

VHDL语法小结归纳(同时对照第九章相关语法说明)

教学后记

第十二讲

第14周

日期:

09.12.14/15

地点:

三教705

第6章

第9章

VHDL设计进阶

VHDL基本语句

教学目的:

继续通过一些实例进一步深入了解VHDL的语言现象和语句规则特点以及应用VHDL表达与设计电路的方法。

教学重点:

VHDL数据对象研究

教学难点:

VHDL中三种数据对象的定义及使用

信号的执行赋值与完成赋值的概念建立

讲授主要内容:

VHDL设计进阶2—

(1)数据对象(变量、常量、信号)。

(2)变量与信号赋值过程的区别

本次课主体教学方式、方法:

多媒体机房,精讲多练

布置作业

课堂练习:

5-8、5-9、5-10、5-11,并讨论

课后完成:

教学后记

第十三讲

第15周

日期:

09.12.21/22

地点:

三教705

第6章

第9章

VHDL设计进阶

VHDL基本语句

教学目的:

通过一些电路设计实例,进一步深入了解VHDL语言现象和语句规则、设计方法

教学重点:

VHDL变量与信号赋值过程的区别

三态门设计等设计实例研究

IF语句结构

教学难点:

设计电路原理

VHDL语言现象和语句规则

讲授主要内容:

VHDL进阶3—

(1)变量与信号赋值过程的区别(续)

(2)双向和三态电路信号赋值

(3)三态门、双向端口设计、三态总线电路设计原理

(4)顺序条件语句IF语句结构----(4种)

本次课主体教学方式、方法:

多媒体机房,精讲多练

布置作业

课堂练习:

*5-12、5-13、5-14、*5-19,*5-21并讨论

课后完成:

实验报告

教学后记

第十四讲

第16周

日期:

09.12.28/29

地点:

三教705

第6章

第9章

VHDL设计进阶

VHDL基本语句

教学目的:

通过一些电路设计实例,进一步深入了解VHDL语言现象和语句规则、设计方法

教学重点:

顺序条件语句、进程语句研究

指导学生完成优先编码器、七段译码器、八位数码扫描显示电路设计

教学难点:

VHDL语言现象和语句规则

设计电路工作原理

讲授主要内容:

VHDL进阶3—

(1)进程语句结构----格式、组成、要点(6点)

(2)仿真延时------固有延时、传输延时、仿真

(3)讨论动态共阴极七段数码管显示电路的工作原理;

 

本次课主体教学方式、方法:

多媒体机房,精讲多练

布置作业

课堂练习:

5-19、5-21、5-22、*补充显示内容,并讨论:

课后完成:

实验报告,VHDL语法小结归纳(同时对照第5、9章相关语法说明)

教学后记

期末考试

第17周

日期:

待定(2小时)

地点:

三教705

教学目的:

检测所学内容掌握情况

教学重点:

2学时考试,主要内容偏重对CPLD开发的理解

教学难点:

讲授主要内容:

一人一组,题目不完全相同,机房简单笔试加操作,笔试重点在对一些基本概念的理解和掌握,操作主要是编程调试及仿真分析下载验证

本次课主体教学方式、方法:

多媒体机房,精讲多练

布置作业

上交笔试试卷及上及操作结果

教学后记

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 党团工作 > 入党转正申请

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1