离线数据采集软件设计.docx

上传人:b****3 文档编号:3511580 上传时间:2022-11-23 格式:DOCX 页数:37 大小:1.11MB
下载 相关 举报
离线数据采集软件设计.docx_第1页
第1页 / 共37页
离线数据采集软件设计.docx_第2页
第2页 / 共37页
离线数据采集软件设计.docx_第3页
第3页 / 共37页
离线数据采集软件设计.docx_第4页
第4页 / 共37页
离线数据采集软件设计.docx_第5页
第5页 / 共37页
点击查看更多>>
下载资源
资源描述

离线数据采集软件设计.docx

《离线数据采集软件设计.docx》由会员分享,可在线阅读,更多相关《离线数据采集软件设计.docx(37页珍藏版)》请在冰豆网上搜索。

离线数据采集软件设计.docx

离线数据采集软件设计

第3章电路硬件设计

3.1系统总体设计

如何通过热电偶将温度信号转化为电压信号,再得到正确的数字信号,是本文面临一大难点。

得到数字信号后,还需要对信号进行计算、显示、存储与传输。

3.1.1系统硬件结构框图

图3.1控制部分结构图

硬件系统的结构图如图3.1,从功能上可划分为采集部分与控制部分,在结构上以中间的电气隔离为界。

采集部分包括多路复用器、ADC、电气隔离器;控制部分包括微控制器(单片机)及其外围电路、扩展存储器、通信接口等。

3.1.2采集部分

为了将热电偶的小幅值电压信号转换为数字信号,我们需要对信号进行放大、模数转换、以及数字信号传输。

为了使用单个AD转换器测量多路信号,我们也需要多路复用器。

(1)温度信号至数字信号转换

热电偶电压信号为mV量级,并且可能出现双极性电压。

采集如此微小的信号需要首先对电压进行放大,另外不同种类的热电偶的温差电动势也不同。

用自己搭建的放大电路,获得稳定而且精确的放大倍数具有一定困难,更重要的是增益大小不可调。

为了获得精确的放大信号,以及能够适应不多种电偶,决定选用集成了可编程增益放大器(PGA)的ADC作为信号采集的核心。

PGA具有高精度的增益倍数,一致性好,可通过程序设定增益大小。

(2)多路复用器

在离线应用场合下不方便布置多个采集设备,多路采集能力是必须的功能。

多路复用器是一个多对一的模拟开关,通过数字信号控制哪一路导通,而其他端口出于高阻状态。

通过分时复用,就能使用单个ADC转换多路模拟信号。

(3)电气隔离

电气隔离能够保护被测电路和测试电路以及操作人员的安全,例如被测电路上遭遇闪电、放电,或者被测电路不慎与其它电源短路。

另外,电气隔离还能减小环境噪声对测试电路地影响,例如电网切换、电机启动和其它电网噪声引起的干扰,高频电磁加热设备的辐射干扰。

在火灾实验中,经常遇到设有强电动力设备、电磁加热设备、电打火或者距离变压器、配电柜等强电网噪声设备的场合,热电偶也经常被固定到金属外壳上。

3.1.3控制部分

(1)微控制器与外部存储

微控制器是控制部分的核心,它负责控制采样,数据处理、存储与通信。

具体包括设置ADC相关参数,控制采样率;对采集到数据的格式进行整理,简单计算;按照一定的格式存储或读出;与上位机通信。

外部存储器为离线工作模式提供存储空间,应具有足够的空间和写入速度,并且具有掉电不易失的能力。

(2)数据通信接口

为采集模块与PC间通信提供通信,应具有匹配的协议与电平型号。

协议由微控制器负责,接口电路负责电平信号转换。

(3)供电

这一部分供电要满足整个模块的能耗需求,并且需要适应宽幅输入电压,并具有高转换效率、低输出纹波噪声,具有相应保护电路。

3.2主要芯片选型

3.2.1采集部分

(1)模数转换器(ADC)

在集成PGA的ADC中,Sigma-Delta型ADC具有超高分辨率,低噪声,高增益倍数,高输入阻抗,低价格的特点,特别适合热电偶电压信号,电桥信号等低速高分辨率小信号的采集应用。

在现有商品化多路热电偶温度采集模块中被广泛应用。

AD7190[7]是美国ADI公司于2009年最新推出的新一代高速、高分辨率Sigma-DeltaADC,其24Bit的分辨率、最高4.8KHz的采样率、最低7nV的超低噪声,在同类产品中性能突出。

相比广泛用与商品化热电偶采集模块的前辈AD7714等,由于新技术的采用,其性能指标更高,功能更强大,价格却更低。

下表为ICPCONi-7018采用的AD7712与小麻雀RSM-02采用的AD7714与AD7190的主要参数对比:

表3.1不同型号AD主要参数对比

型号

AD7190

AD7712

AD7714

共同特性

24BitsNoMissingCodes

0.0015%Nonlinearity

PGAGainsfrom1to128

LowGainDrift;LowGainError;LowNoise

CanBeConfiguredasFullyDifferential

Three-WireSerialInterface;SPI™,QSPI™,MICROWIRE™andDSPCompatible

最大采样率

4.8KHz

1KHz

1KHz

1KHz采样率下有效位数

19bits@960Hz

10bits@1KHz

10.5bits@1KHz

50Hz噪声抑制

120db

100db

100db

其它特性

InputBuffered

TwoFullyDifferential

BridgePowerDown

FourDigitalOutput

VoltageAttenuation

InternalReference

InputBuffered

ThreeFullyDifferential

LowCurrent(350mA)

最低价格(1K)

$5.9

$15.89

$8.38

得益于技术的发展,新产品的性能更高,价格却更低(按时间先后:

AD7712(1998年),AD7714(2004年),AD7190(1998年))。

从表3.1的对比可以看出,AD7190最突出的优点是高速采样模式下有绝对优势的有效分辨率。

对于多路热电偶数据采集,每次切换输入通道后需要对数字滤波器进行重新建立。

例如对与AD7190来说,其960Hz采样率模式下的建立时间为4.17ms,等效239.8Hz,即如果对8路信号循环采样,每路的采样率只有30Hz。

也就是说对于AD7712与AD7714来说,它们最大只能做到8路30Hz的可靠采样,从同时也能看到表3.1,在高采样率下以上二者的有效分辨率远不及16位。

即便模块工作在低速模式下,高的AD转换速率能降低各路采样不同时引起的误差。

AD7190主要特性如下[3]

RMSnoise:

8.5nV@4.7Hz

(gain=128)

16noisefreebits@2.4kHz

(gain=128)

Upto22.5noisefreebits(gain=1)

Offsetdrift:

5nV/°C

Gaindrift:

1ppm/°C

Specifieddriftovertime

2differential/4pseudodifferentialinputchannels

Automaticchannelsequencer

Programmablegain(1to128)

Outputdatarate:

4.7Hzto4.8kHz

Internalorexternalclock

Simultaneous50Hz/60Hzrejection

4general-purposedigitaloutputs

Powersupply

AVDD:

4.75Vto5.25V

DVDD:

2.7Vto5.25V

Current:

6mA

Temperaturerange:

–40°Cto+105°C

Interface

3-wireserial

SPI,QSPI™,MICROWIRE™,andDSPcompatible

SchmitttriggeronSCLK

APPLICATIONS

Weighscales,Straingaugetransducers,Pressuremeasurement,Temperaturemeasurement

 

AD7190结构图如下,封装为24-leadTSSOP。

图3.2AD7190结构图

AD7190除了优秀的精度与采样速度外,其附加外设也十分便利;内部集成15pF电容,使用外部晶振时无需增加谐振电容。

特别是P1~P4的四个可编程数字输出端口,可通过更改片内寄存器改变其输出状态,这样当需要增添多路复用器时,只需要通过ADC的数字输出来控制通道的选通,不需微控制器与多路复用器之间建立直接通信。

如此在隔离应用的设计时,大大减少了隔离器件的数目,减小了布线压力,提高系统可靠性。

同时AD7190集成了温度传感器,可直接作为热电偶的冷锻补偿。

(2)电压基准

根据AD转换的原理

其中:

n——电压数字化后的值;

Vx——待测电压值;

Gain——放大倍数;

Vref——参考电压;

N——ADC的最大分辨率;

可见电压基准对转换精度的影响也是决定性的。

图3.3MC1403DIP-8封装

MC1403[8]是美国摩托罗拉公司生产的高准确度、低温漂、采用激光修正的带隙基准电压源。

DIP-8封装引脚排列如图3.3所示。

MC1403主要特性如下:

OutputVoltage:

2.5V±25mV

InputVoltageRange:

4.5Vto40V

QuiescentCurrent:

1.2mATypical

OutputCurrent:

10mA

TemperatureCoefficient:

10ppm/°CTypical

GuaranteedTemperatureDriftSpecificationEquivalenttoAD580

Standard8–PinDIP,and8–PinSOICPackage

陶瓷封装的MC1403具有极高的漂移稳定性,典型温漂特性<1ppm/°C。

MC1403外围电路简单,只需在电压输出脚并联一个解耦电容,抗噪声能力很强。

(3)多路复用器

利用多路复用器可以使用单个AD转换单元分时采集多路模拟信号,代价是降低每一路的采样率,并引起采集时间误差。

常用多路复用器有继电器式与模拟开关式。

继电器式具有极低的导通电阻,并与控制电路隔离,可通过任意极性信号,常用于大电流或者超高精度采样的应用中,但其切换速度低,占用体积与能耗巨大。

模拟开关式结构简单体积小,切换速度高,也可具有很低的导通电阻,能耗低。

可用于高精度高速信号的切换。

本文设计中ADC的输入阻抗很高,故不需要低导通电阻特性。

考虑到还需要设置一级RC滤波,滤波电阻可直接利用

74HC4051是单8通道数字控制模拟电子开关,有三个二进控制输入端A、B、C和INH输入,具有低导通阻抗和很低的截止漏电流。

幅值为4.5~20V的数字信号可控制峰值至20V的模拟信号。

例如,若VDD=+5V,VSS=0,VEE=-13.5V,则0~5V的数字信号可控制-13.5~4.5V的模拟信号。

这些开关电路在整个VDD-VSS和VDD-VEE电源范围内具有极低的静态功耗,与控制信号的逻辑状态无关。

当INH输入端=“1”时,所有的通道截止。

三位二进制信号选通8通道中的一通道,可连接该输入端至输出。

74HC4051具有低导通电阻(Ron)特性:

80Ω(typ)@VCC-VEE=4.5V

70Ω(typ)@VCC-VEE=6.0V

60Ω(typ)@VCC-VEE=9.0V

其阻值接近100Ω,配合0.1uF电容可构成适用AD7190的典型RC滤波器。

(4)电气隔离

电气隔离包括电源隔离与信号隔离。

电源隔离一般使用隔离变压器,而信号隔离具有多种方式,最常见的信号隔离方式是光耦隔离。

光电耦合器将电信号转换为光信号,接收端再将光信号转化为电信号。

信号单向传输,输出端无法影响输入端,实现完全电气隔离。

光耦隔离应用广泛,但其输出信号表现为阻值变化,需要上拉电阻转化为电压信号。

其边沿时间长,不够陡峭,使得一些数字端口不能正常识别是信号,而且其输出信号与输入信号极性相反,故还需添加反相器,使得电路复杂化。

iCoupler磁耦隔离器是基于芯片尺寸变压器的磁耦合器,与传统光耦合器中采用的发光二极管(LED)和光电二极管不同,iCoupler磁隔离技术通过采用晶圆级工艺直接在片上制作变压器。

iCoupler磁隔离可以在低成本条件下实现多通道及其他功能集成。

iCoupler磁隔离变压器采用平面结构,在晶圆钝化层上使用CMOS金属和金构成。

金层下有一个高击穿的聚酰亚胺层,将顶部的变压器线圈与底部的线圈隔离开来。

连接顶部线圈和底部线圈的CMOS电路为每个变压器及其外部信号之间提供接口。

晶片级信号处理提供了一种在单颗芯片中集成多个隔离通道以及其它半导体功能的低成本的方法。

iCoupler磁隔离技术消除了与光耦合器相关的不确定的电流传送比率、非线性传送特性以及随时间漂移和随温度漂移问题;功耗降低了90%;并且无需外部驱动器或分立器件。

ADuM5401是三出单入共四路磁隔离芯片,它更是集成了基于isoPower®技术的电源隔离功能,可进一步简化设计,提高电路性能与可靠性。

ADuM5401A具有2.5KV的隔离能力,最大1MHz的数据通信能力,可适应3.3V或5V电压输入输出,16-Lead,WideBodySOIC封装。

3.3.2数字部分

(1)微控制器(MCU)

考虑到系统需求与功耗,8位单片机完全符合设计需要。

目前8位机种类繁多,包括经典的MS-51系列、51兼容系列、PIC、STM8、AVR等。

其中AVR系列单片机性能出众,应用广泛,我也具有丰富的开发经验。

AVR系列单片机具有以下优势[9]

●哈佛结构,具备1MIPS/MHz的高速运行处理能力;

●超功能精简指令集(RISC),具有32个通用工作寄存器,克服了如8051MCU采用单一ACC进行处理造成的瓶颈现象;

●快速的存取寄存器组、单周期指令系统,大大优化了目标代码的大小、执行效率,部分型号FLASH非常大,特别适用于使用高级语言进行开发;

●作输出时与PIC的HI/LOW相同,可输出40mA(单一输出),作输入时可设置为三态高阻抗输入或带上拉电阻输入,具备10mA-20mA灌电流的能力;

●片内集成多种频率的RC振荡器、上电自动复位、看门狗、启动延时等功能,外围电路更加简单,系统更加稳定可靠;

●大部分AVR片上资源丰富:

带E2PROM,PWM,RTC,SPI,UART,TWI,ISP,AD,AnalogComparator,WDT等;

●大部分AVR除了有ISP功能外,还有IAP功能,方便升级或销毁应用程序

其中高端的mega系列已逐渐成为主流,具有极高的性价比。

通过对比以前做过的类似设计,估算程序空间需求在8KB以下,为了保留进一步开发的空间,决定选用具有16Kbflash的ATMega16作为微控制器。

除了完全继承AVR单片机的优势外,其它主要特性有:

工作于16MHz时性能高达16MIPS

只需两个时钟周期的硬件乘法器

16K字节的系统内可编程Flash,擦写寿命:

10,000次

512字节的EEPROM,擦写寿命:

100,000次

1K字节的片内SRAM

32个可编程的I/O口

40引脚PDIP封装,44引脚TQFP封装,与44引脚MLF封装

工作电压:

4.5-5.5V

(2)外部存储器

作为离线数据采集的核心部件之一,外部存储器的选型十分重要。

EEPROM具有寿命长,擦写操作简单的特点,可单独对指定字节进行写入修改,有利于及时保护数据。

其中24Cxx系列应用广泛,采用IIC总线接口,可方便实现容量扩展。

单一总线上,通过设置不同的器件地址,可使用多片24Cxx芯片构成最大2Mb的存储空间,若按8路50Hz16b采样,最大可存贮327.68s的数据,对于不到3s的自由落体过程绰绰有余。

本文采用的型号为24C256,具有单颗256Kb的容量、64B分页、100,000次擦写、40年数据保存能力、5V供电、DIP-8封装。

(3)通信接口

串口是与PC进行低速通信最简单方法之一。

PC上串口为RS232电平,故使用MAX232将单片机的CMOS电平与其匹配,使得模块可以直接与PC相连,而不必通过额外的电平转换器。

RS232电平无法构成总线,这样当在线模式下扩展多个模块共同工作时,通信十分不便。

而RS485使用差分信号,总线最多可允许128个设备,在半双工模式下最少尽需要2根线。

由于需要与其它采集模块以及PC相连,电气隔离的功能是必须的,这样当单个模块遭遇雷电、放电等危害时不会违纪PC及其它模块。

ADM2483是采用iCoupler磁隔离技术的485接口芯片,同时集成了信号隔离与电平转换功能,仅需配合一个隔离电源,就可构成完整的隔离485接口。

其最大波特率可达500K,2.5KV的隔离能力,5V和3V适应,16-Lead,WideBodySOIC封装。

(4)电源

在离线应用下,能源有限,同时其它设备可能已经存在供电。

故对电源就特别要求高转换效率与宽电压输入,这样就可提高电池的续航并且能方便的与其它设备共用电源。

另外由于采集部分与控制部分电气隔离,总电源的纹波要求就大大降低了。

开关电源能很好的满足以上要求。

LM2575系列开关稳压集成电路是美国国家半导体公司生产的1A集成稳压电路,它内部集成了一个固定的振荡器,只须极少外围器件便可构成一种高效的稳压电路,可大大减小散热片的体积,而在大多数情况下不需散热片;内部有完善的保护电路,包括电流限制及热关断电路等;芯片可提供外部控制引脚。

是传统三端式稳压集成电路的理想替代产品。

LM2575-5T具有8~45V的宽幅输入适应能力,高于75%的转换效率,额定电流1A,振荡频率54kHz,最大稳压误差4%,TO-220封装;

隔离电源多为模块化产品,本文使用了金升阳公司出品的B0505S-1W型DC-DC电源模块,5V输入5V输出,额定电流200mA,隔离电压3KV。

3.3原理图设计

3.3.1电路设计工具-EAGLE软件介绍

EAGLE是EASILYAPPLICABLEGRAPHICALLAYOUTEDITOR的简写,现隶属于Cadsoft公司。

EAGLE是强大的原理图与PCB编辑器,如其名所言,它也具有极好的易用性。

相对Protel少则几百兆,动则几个G的庞大身躯,EAGLE5.7在仅仅30M的安装文件内,集成了了原理图和PCB编辑器、CAD生成器、用户语言接口与极其丰富的元件库。

其自动检错与自动布线功能强大而且由用户方便的更改规则。

EAGLE简单,但是对于制作简单电路来说,必要的功能一个都不少。

最为重要的两点是:

(1)EAGLE具有免费版本,具备制作简单电路的完整功能。

(2)EAGLE具有强大的库编辑器与数量众多的第三方库文件。

基于其具有免费版本的特点,国外采用该软件的团体与个人数量众多,各类元件的库文件也被广泛制作并分享。

相对与元件库匮乏的Protel系列软件来说,EAGLE的第三方库支持拥有绝对的优势。

第三方库元件库大大节省了开发成本,经过他人实际应用过的封装也能保证正确性。

软件的界面如下

图3.4EAGLEControlPanel

图3.5EAGLESchematicEditor

图3.6EAGLEPCBEditor

本文中所制作的原理图与PCB均使用该软件

3.3.2采集部分

采集部分的原理图设计参考了ADI公司提供的应用笔记,如图3.7[10]。

图3.724位隔离式单电源输入电路

电路描述:

传感器输出通常是小信号,例如热电偶或RTD等。

本设计允许将这些小信号输入直接与AD7793的输入相连,后者具有最大增益为128的内部PGA。

该设计可以用作非环路供电SMART发射器的完整解决方案。

ADuM5401是一款采用ADI公司iCoupler®技术并集成isoPower技术的四通道隔离器。

它可用来在现场端与系统微控制器之间实现隔离,隔离额定值为2.5kV(均方根值)。

ADuM5401还集成了DC-DC转换器,可在5V或3.3V时提供500mW的稳压隔离电源。

本设计利用ADuM5401提供的5V电压为输入模块上的所有模拟电路供电。

所有四条数据线都得到利用:

三条用于发射,(CS,SCLK,DIN)一条用于接收(DOUT),这些线与标准SPI接口相连。

此电路为ADI公司提供的24bitSigma-Delta型ADC经典应用,本文采用的AD7190同样适用该电路。

采集部分的结构参考此电路,同时也针对应用的特点与实际测试做出了大量修改,下面针对各功能模块进行了详细的设计。

(1)模数转换

模拟-数字转换电路是整个系统设计过程中最重要的一个环节,其相应、精度、稳定性一定程度上决定了整个系统的性能。

实现这一功能的核心是模数转换器(ADC),长久以来ADC芯片的发展都得到了各厂家的重视。

近年来集成可编程放大器(PGA)的Sigma-Delta型ADC,成为温度、压力等低速小信号测量的主流技术之一。

AD7190是美国ADI公司力推的新一代高速、高精度、低功耗Sigma-Delta型ADC,与现有成品相比具有更高的指标与更低的价格。

该芯片设计非常人性化,虽然因为出品晚而应用不多,但开发难度不大,实际也达到了令人满意的效果。

除了选用高性能的ADC芯片外,ADC外围电路的设计也对转换精度有着至关重要的影响。

参考RSM-02小麻雀热电偶温度采集模块的设计,输入信号的滤波处理与过压保护电路如图3.8。

通过串接在输入线路上的两个100Ω电阻与并联的三颗电容,组成了RC滤波电路。

实际测试中发现电容C6值选取偏小,在较强电磁干扰的情况下采集结果被明显干扰,更换为0.1uF后干扰被基本消除。

输入信号的负极通过一个连接到参考电压Vref的500Ω上拉电阻实现电压偏置,如此可保证正常输入信号维持在VISO与GNDISO之间,避免出现输入信号偏离供电电压之外引发无效的转换。

D4、D5两颗二极管作为过压保护,当输入信号上电压大小超过安全限定时,电流通过这两个二极管被安全的泄放,从而保护了转换电路的安全。

该电路的输出端直接连到ADC的对应引脚上,以供调试使用,正常输入信号经由多路选择器,并共用部分电路。

图3.8RC滤波电路及过压保护

ADC外围电路的设计如图3.9,得益于优秀的设计,AD7190的外围电路较为简单。

外置晶体振荡器比集成振荡器精度更高,可以提供更高的工频干扰抑制能力。

特别主注意模拟地与数字单独连接,各自配有解耦电容。

参考电压电路参照经典设计,在输出端并联了一个解耦电容。

图3.9ADC与电压基准电路

(2)多路复用

图3.10多路复用器电路

74HC4051工作在5V单电源模式下,其典型导通电阻为70Ω,可替代RC滤波中的电阻。

图3.10展示了多路复用器的电路设计,其中二极管、偏置电阻与图3.8RC滤波电路及过压保护相同。

为了方便布线,对信号通道0~7对应到多路复用器上的顺序进行了调整,在编写固件时会将多路复用器通道重新映射以对应实际接线。

(3)电气隔离与采集部分供电

此部分电路参照ADI公司的应用笔记。

但实际调试中发现应用笔记提供的电源滤波方案并不合适。

图3.724位隔离式单电源输入电路推荐输入输出电源与地线都加入LC滤波,我在最初的设计中遵循了此规则。

但调试中发现,虽然电源上纹波较小,数字接口上却有明显的噪声。

由于单片机SPI与在线烧写(ISP)接口共用部分引脚,强烈的噪声干扰了烧写信号,致使部分烧写操作失败,甚至造成单片机锁死。

将地线上的电感短接之后,数字接口上噪声明显减弱,再未出现烧写失败状况,同时电源噪声也为发现明显增大。

图3.11为改进后的设计。

图3.11电气隔离器与LC

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 文学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1