通信原理译码器.docx

上传人:b****5 文档编号:3045450 上传时间:2022-11-17 格式:DOCX 页数:18 大小:399.53KB
下载 相关 举报
通信原理译码器.docx_第1页
第1页 / 共18页
通信原理译码器.docx_第2页
第2页 / 共18页
通信原理译码器.docx_第3页
第3页 / 共18页
通信原理译码器.docx_第4页
第4页 / 共18页
通信原理译码器.docx_第5页
第5页 / 共18页
点击查看更多>>
下载资源
资源描述

通信原理译码器.docx

《通信原理译码器.docx》由会员分享,可在线阅读,更多相关《通信原理译码器.docx(18页珍藏版)》请在冰豆网上搜索。

通信原理译码器.docx

通信原理译码器

课程设计任务书

学生姓名:

专业班级:

电信13

指导教师:

苏杨工作单位:

信息工程学院

题目:

数字基带信号HDB3译码器设计与建模

初始条件:

(1)MAXPLUSII、QuartusII、ISE等软件;

(2)课程设计辅导书:

《通信原理课程设计指导》

(3)先修课程:

数字电子技术、模拟电子技术、电子设计EDA、通信原理。

要求完成的主要任务:

(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)

(1)课程设计时间:

(2)课程设计题目:

数字基带信号HDB3译码器设计与建模;

(3)本课程设计统一技术要求:

按照要求对的题目进行逻辑分析,了解HDB3译码器译码原理,了解各模块电路的逻辑功能,设计通信系统框图,画出实现电路原理图,编写VHDL语言程序,上机调试、仿真,记录实验结果波形,对实验结果进行分析;

(4)课程设计说明书按学校“课程设计工作规范”中的“统一书写格式”撰写,并标明参考文献(至少5篇);

(5)写出本次课程设计的心得体会(至少500字)。

时间安排:

第18周

参考文献:

段吉海.数字通信系统建模与设计.北京:

电子工业出版社,2004

江国强.EDA技术与应用.北京:

电子工业出版社,2010

JohnG.Proakis.DigitalCommunications.北京:

电子工业出版社,2011

指导教师签名:

年月日

系主任(或责任教师)签名:

年月日

目录

摘要1

Abstract2

第1章QuartusII软件相关简介3

1.1QuartusII简介3

1.2QuartusII功能介绍3

1.3EDA技术简介3

1.4VHDL语言4

第2章工作原理5

2.1HDB3码编码5

2.2HDB3码译码原理6

2.3译码模块6

第3章HDB3译码器设计11

3.1HDB3解码器总体设计思路11

3.2检测V并去V模块11

3.3检测B并去B模块11

3..4双/单极性转换模块12

3..5译码举例12

3.6单双极性变换建模12

3.7hdb3dec译码模块设计13

第4章HDB3译码器仿真14

4.1总电路14

4.2波形仿真14

第5章心得体会16

第6章参考文献17

附录A源代码18

附录B(本科生课程设计成绩评定表)21

摘要

数字基带信号是指消息代码的电波形,它是用不同的电平或脉冲来表示相应的消息代码。

将基带数字信号经过适当的码型变换后直接送入信道传输,称为基带数字序列信号传输,简称基带传输,数字基带信号(简称基带信号)的类型有很多,常见的有矩形脉冲、三角波、高斯脉冲和升余弦脉冲等。

最常用的是矩形脉冲,因为矩形脉冲易于形成和变换。

三阶高密度双极性码是一种适用于基带传输的编码方式,它是为了克服AMI码的缺点而出现的,具有能量分散,抗破坏性强等特点,在该设计报告中,介绍了使用VHDL语言实现HDB3码的译码器功能的步骤和方法。

关键词:

基带信号基带传输HDB3译码器

 

Abstract

Digitalbasebandsignalwaveformmessagecodereferstoelectricity,whichisadifferentlevelorpulsetoindicatecorrespondingmessagecode.Thebasebanddigitalsignalafterasuitablepatternconverteddirectlyintothechanneltransmissioncalledbasebanddigitalserialsignaltransmission,referredbasebandtransmission,digitalbasebandsignal(referredtoasabasebandsignal)Therearemanytypes,acommonrectangularpulse,trianglewave,Gaussianpulseandraisedcosinepulseandsoon.Themostcommonlyusedisarectangularpulse,andreadilyformedbecausetherectangularpulseconversion.

Third-orderhigh-densitybipolarcodeisasuitablebasebandtransmissionencoding,itistoovercomethedrawbacksoftheAMIcodeandtheemergenceofanenergydispersion,anti-destructivestrongfeaturesinthedesignreportdescribestheuseofVHDLlanguageHDB3codedecoderfunctionofstepsandmethods.

Keywords:

basebandsignalbasebandtransmissionHDB3decoder

 

第1章QuartusII软件相关简介

1.1QuartusII简介

QuartusII软件可以在XP、Linux以及Unix上使用,提供了完善的用户图形界面设计方式,具有运行速度快,界面统一,功能集中,易学易用等特点。

此外,QuartusII支持Altera的IP核,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。

还有,QuartusII通过DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

如今,QuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

1.2QuartusII功能介绍

QuartusII提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性和以下一些功能:

可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;具有完备的电路功能仿真与时序逻辑仿真工具以及定时/时序分析与关键路径延时分析。

此外,还支持软件源文件的添加和创建,并将它们链接起来生成编程文件,通过组合编译方式可一次完成整体设计流程;并且能够自动定位编译错误和能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件等。

1.3EDA技术简介

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

如今,EDA技术的应用已经非常广泛,在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。

此外,EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。

例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

1.4VHDL语言

VHDL语言即超高速集成电路硬件描述语言,是一种用于电路设计的高级语言,在80年代的后期出现。

最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。

VHDL主要用于描述数字系统的结构、行为、功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部和内部,既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

首先,与其他的硬件描述语言相比,VHDL语言具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。

强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

其次,VHDL语言有丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

还有,对于用VHDL语言完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

最后,VHDL语言对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

第2章工作原理

2.1HDB3码编码

(1)将消息代码变换成AMI码;

(2)检查AMI码中的连0情况,当无4个以上的连0传时,则保持AMI的形式不变;

(3)若出现4个或4个以上连0时,则将1后的第4个0变为与前一非0符号(+1或-1)同极性的符号,用V表示(+1记为+V,-1记为-V),V称为破坏码;

(4)检查相邻V符号间的非0符号的个数是否为偶数,若为偶数,则再将当前的V符号的前一非0符号后的第1个0变为+B或-B符号,且B的极性与前一非0符号的极性相反,并使后面的非0符号从V符号开始再交替变化。

编码举例:

表2-1HDB3码编码举例

消息码

1

0

0

0

0

1

1

0

0

0

0

1

1

AMI码

+1

0

0

0

0

-1

+1

0

0

0

0

-1

+1

HDB3码

+1

0

0

0

+V

-1

+1

-B

0

0

-V

+1

-1

HDB3码的特点如下:

(1)基带信号无直流成分,且只有很小的低频成分;

(2)连0串符号最多只有3个,利于定时信息的提取;

(3)不受信源统计特性的影响。

2.2HDB3码译码原理

(1)根据编码规则,V脉冲与前一个脉冲同极性。

因而可从所接收的信码中找到V码,V码与前面的三位代码必然是取代码,在译码时,需要全部复原为四连0,完成了扣V扣B功能。

原理如图:

图2.2HDB3译码器模型

(2)双/单极性变换电路:

正负整流电路分别提取正负电平码部分。

(3)V码检测电路:

V脉冲必然是同极性脉冲。

当无V脉冲时,传号脉冲“+1”和“-1”交替出现。

当连续出现两个“+1”或“-1”时,则后一个一定是V脉冲。

 

2.3译码模块

1.+V码检测:

图2.3.1+V码检测模型框图

 

当+B的上升沿到来时,对输入的+B脉冲进行计数,当计数值等于2时,输出一个脉冲作为+V脉冲,同时计数器清零。

而且在计数期间,一旦有-B信号为“1”电平时,立即对计数器清零。

这是因为在两个+B脉冲之间,存在-B脉冲,说明第二个+B脉冲不是+V码,而只有在连续两个+B脉冲之间无-B脉冲,才能说明这两个+B脉冲在HDB3码中,是真正同极性,于是就可以判定第二个+B脉冲实际上是+V码,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 解决方案 > 其它

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1