EDA原理图输入设计方法.docx

上传人:b****8 文档编号:28616656 上传时间:2023-07-19 格式:DOCX 页数:13 大小:1.01MB
下载 相关 举报
EDA原理图输入设计方法.docx_第1页
第1页 / 共13页
EDA原理图输入设计方法.docx_第2页
第2页 / 共13页
EDA原理图输入设计方法.docx_第3页
第3页 / 共13页
EDA原理图输入设计方法.docx_第4页
第4页 / 共13页
EDA原理图输入设计方法.docx_第5页
第5页 / 共13页
点击查看更多>>
下载资源
资源描述

EDA原理图输入设计方法.docx

《EDA原理图输入设计方法.docx》由会员分享,可在线阅读,更多相关《EDA原理图输入设计方法.docx(13页珍藏版)》请在冰豆网上搜索。

EDA原理图输入设计方法.docx

EDA原理图输入设计方法

实验一原理图输入设计实验

一、实验目的

1、初步了解MAX+plusn软件。

2、学习和掌握原理图输入方式,了解设计这一种迅速入门的便捷工具。

3、学习和掌握EDA的波形分析工具及分析方法。

二、实验要求

1、设计半加器的原理图。

2、用仿真的方法,进行半加器的波形分析。

3、生成半加器的底层器件。

4、组成一位全加器。

5、在EDA实验箱上下载实验程序并验证一位全加器。

三、实验设备

1、装有MAX+plusH计算机一台

2、EDA――W实验箱一台四、实验原理

其真值表

1、用门电路连接成1位半加器,完成原理图的设计,输入输出信号须用端口连接。

见表1.1

2、用波形分析的方法验证半加器的逻辑关系。

3、用半加器、与或门等逻辑电路组成1位全加器,其真值表见1.2

4、下载软件进入实验箱验证

1、半加器原理图输入

1.1先建立自己目标的文件夹,D:

\EX\Z04**\you*\ex*。

1.2双击MAX+LUSEII图标,进入MAX+PLUSH管理器。

原理图输入的操作步骤如下:

(1)建立我们的第一个项目,单击管理器中的FILE菜单(单击鼠标左键,以后如有特

殊说明含义不变),将鼠标移到Project选项后,单击Name选项,指定项目如图1.1所示。

在ProjectName的输入编辑框中,键入设计半加器项目名称"hadder”,屏幕如图1.1所

示:

(注意项目所存放的目录):

(2)再在管理器中单击File\New选项,设定图形文件。

选择GraphicEditorfile,单击

OK按钮后,便进入到MAX+PLUSEII的图形编辑器。

(3)归属项目文件File\Project\SetProjecttoCurrentFile;

(4)保存半加器的文件名;屏幕如图1.2所示;

 

 

(6)重复

(2)、(3)步骤,在图中安放input、output等元件符号,如图1.4所示;

(7)在图1.4中,用移动光标的方法将元器件之间进行连线;

图中器件:

AND2、XNOREXPINPUT、OUTPUT

 

(8)重复(5)的方法将完成所有的电路连线,如图1.5所示;

(9)在图1.5的界面下,对半加器的原理图进行编译;对文件进行编译,单击编译器

(10)单击Start按钮后,计算机开始处理数据,其进度情况用水平线表示;

(11)如果有"0errors”和"0warnings”字符出现,则表示编译完全通过,单击确定OK按钮后;

(12)在图形编辑器界面上,建立底层器件(加封),在File\GreatDefaultSymbol封装半加器;

(13)一般警告性错误可以忽略;

(14)编译结束后,即可退出编译窗口,即在编译对话框中选择关闭按钮即可;

2、波形编辑

波形编辑的操作步骤如下:

(1)建立波形文件,在MAX+PLUSII的管理器内,单击File\New选项下,选择WaveformEditorfile,并单击其右边的小黑箭头,在下拉选项中"*.scf”,单击

OK按钮后,便进入到MAX+PLUSII的波形编辑器;

(2)归属其项目下File\Project\SetProjecttoCurrentFile;;

(3)在波形编辑器中,选择Node\EnterNodeFromSNF,将出现信号分析导入选择

对话框,如图1.6所示;

 

(4)在图1.6内的NodeEnterNodeFromSNF的文本编辑框中,双击List按键,全选

择后,单击0K按钮后,进入输入信号待编辑状态;

(5)在信号编辑器内,编辑输入信号,原则是输入信号的组合,应该覆盖所有的逻

辑关系,如图1.7所示。

(其方法为首先选中一个要赋值的信号区间,按住鼠标左

键,在区间范围内拉出一个黑色区域,松开鼠标左键,再点左边工具栏上的“1”,使这个时间段赋值为高电平时间;注意时间不要选择太小,比如就选10ns,这样

结果可能不对,因为电路的延迟可能就达到10ns);

iidMAX+plus11

[-e;\eda\ex\drf

-

r

X

MAX+pl"IIEli?

Edittie*AssignLit订itiesWindowHelp

2

ciff血皇jBzifS挡ta・a乞至上星町

UtiliL1cd2WflvcrormEd(tot

..'l-lTp.,亟

图1.7编辑输入信号的屏幕

另外在"options”项目下,可进行下面设置:

1SnaptoGrid:

鼠标按网格选取,用鼠标左键可以决定是否选取;

2ShowGrid显示网格;

3GridSize:

设置网格大小;

4EndTime:

设置仿真结束时间0.5us的仿真时间;

(6)保存波形文件,单击File\SaveAs菜单,后缀为*.scf;

(7)对波形文件进行编译,单击编译器快捷方式按钮;

(8)编译结束,退出编译窗口,即在编译对话框中点击关闭按钮即可;

(9)在MAX+plusn\Simulator进行仿真;

(10)在波形上可分析输入与输出实验结果,验证逻辑关系,如图1.8所示;

图1.8波形分析输出

(11)对照表1.1,验证逻辑关系;

(12)

(选作)观察自动分配引脚,单击二按钮,屏幕如图1.9所示;

(13)(选作)双击Fit查看引脚分配情况,如图1.10所示;

CHIP'"hadder-REfilH

CirUJ.CE-aBEPHTHI2SSLCSU;

^Lillig391匚口1把0

图1.10查看引脚分配的屏幕

(14)(选作)在MAX+plusn\FloorplanEditor观察资源分配情况,如图1.11所

示;

IIEilrEfhlX*血和4LilIJ11rwUv(hi?

i冲(iHitaHr】.

J#■JB»i4«pt*i

图1.11查看芯片资源分配的屏幕

3、位全加器实验

(1)在MAX+plusn管理器的File\New内,新建一个全加器图形文件;

(2)归属项目文件File\Project\SetProjecttoCurrentFile;

(3)保存文件,全加器原理图文件名不可与半加器器件原理图的文件名相同;

(4)半加器的基础之上,组成1位全加器,如图1.12所示;

(5)对全加器原理图进行第一次编译;

图1.121位全加器组成

图中器件:

0R2INPUTOUTPUT

(6)选择器件型号,在图1.8中单击Assign\Device菜单,屏幕如图1.10所示;

图1.13选择待编程芯片的屏幕

(7)全加器进行第二次编译;

(8)必须做手动接口的引脚分配,Assign\Pin/Location/Chip;如图1.14;(可以参

照实验箱的引脚分配图。

(附录三));(原理图端口与芯片组引脚需一一对应)

(9)全加器进行第三次编译;

图1.14引脚分配图

(10)程序下载实验箱,

(11)在图1.8中(此处认为实验板已安装妥当,有关安装方法见实验板详细说明)单

击按钮,屏幕显示如图1.15所示。

图1.15程序下载

(12)在图1.15中点击"Program”或者选择"Configure'即可进行下载;

(13)如有问题,点击(1ProgrammingFile),选择相应的下载文件;

(14)在实验箱上验证实验结果;

(15)操作开关SW1、SW2、SW3为A、B、C输入信号,观测发光二极管D101、D102为Cout与Sout输出状态并验证全加器真值表;

硬件资源分配

EPF10K10LC84-3

引脚

设备装置器件

原理图端口

@36

SW3

Cin

@35

SW2

Bin

@30

SW1

Ain

@17

D101

Cout

@16

D102

Sout

六、思考题

1、如果在下载前未选择器件,会出现什么问题?

为什么?

2、如果器件的引脚分配发生冲突了,会出现什么问题?

如何解决?

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1