点阵显示电路课程设计.docx

上传人:b****8 文档编号:28263653 上传时间:2023-07-09 格式:DOCX 页数:15 大小:201.12KB
下载 相关 举报
点阵显示电路课程设计.docx_第1页
第1页 / 共15页
点阵显示电路课程设计.docx_第2页
第2页 / 共15页
点阵显示电路课程设计.docx_第3页
第3页 / 共15页
点阵显示电路课程设计.docx_第4页
第4页 / 共15页
点阵显示电路课程设计.docx_第5页
第5页 / 共15页
点击查看更多>>
下载资源
资源描述

点阵显示电路课程设计.docx

《点阵显示电路课程设计.docx》由会员分享,可在线阅读,更多相关《点阵显示电路课程设计.docx(15页珍藏版)》请在冰豆网上搜索。

点阵显示电路课程设计.docx

点阵显示电路课程设计

燕山大学

课程设计说明书

 

题目:

点阵显示电路

 

学院(系):

年级专业:

学号:

学生姓名:

指导教师:

教师职称:

学生姓名

专业(班级)

设计题目

点阵显示电路

●控制显示方式如下:

由小到大显示方块“口”(均为四周亮中间灭)

●图形“口”的颜色为红绿交替变换。

(即第一次“口”为红色,第二次为绿色,依此类推)

●院(系):

电气工程学院基层教学单位:

电子实验中心

用双色点阵电路实现显示

●学会使用Max+PlusII软件和实验箱;

●独立完成电路设计,编程下载、连接电路和调试;

●参加答辩并书写任务书。

1.了解EDA的基本知识,学习使用软件Max+PlusII,下发任务书,开始电路设计;

2.学习使用实验箱,继续电路设计;

3.完成电路设计;

4.编程下载、连接电路、调试和验收;

5.答辩并书写任务书。

《数字电子技术基础》.阎石主编.高等教育出版社.

《EDA课程设计B指导书》.

指导教师签字

基层教学单位主任签字

金海龙

燕山大学课程设计(论文)任务书

2012年12月13日

目录

第1章设计思路...............................................................................................................4

第2章基本原理

2.1模块介绍...............................................................................................................4

2.2真值表...................................................................................................................5

第3章设计原理图及仿真图

3.1模块一原理图...................................................8

3.2模块二原理图...................................................8

3.3模块三原理图...................................................9

3.4波形仿真图.....................................................9

第4章管脚锁定及管脚连接

4.1管脚锁定......................................................10

4.2管脚连接......................................................11

第五章总结...........................................................12

参考文献..............................................................13

 

第一章设计思路

根据任务书可以得到以下任务目的及解决方法:

1)选用行扫描,用一片3-8译码器进行;

2)分别用一片3-8译码器控制列,与控制行的3-8译码器配合,在8-8矩阵中分别显示出由小到大变化的口字;

3)为达到行扫描的目的和保证控制行的与控制列的3-8译码器同步,选用一片八进制计数器同时控制四个3-8译码器;

4)为达到四个口字分别显示和视觉延时效果,用一片计数器的不同数制分别控制四个控制列的译码器

5)为了达到口字的视觉延时效果,需要控制行扫描的八进制计数器的时钟脉冲频率高,而控制列的计数器的时钟脉冲频率低(并且要保证高频率是低频率的8的整数倍)。

第二章基本原理

2.1模块介绍

根据设计目的及解决方法可以将整个设计分为五个模块:

✧模块一:

控制行扫描的模块

用一片3-8译码器控制行低电平从第一行到第八行依次出现

✧模块二、控制四个由小到大的口显示的模块

根据真值表,分别用一片3-8译码器配合模块一控制列电平的高低使8-8矩阵依次由小到大显示口字,第一个和第三个口字输出端接红色点阵显示接线组“COL1-8R(T)”显示红色口;第二个和第四个口字输出端接绿色点阵显示接线组“COL1-8G(T)”显示绿色口。

✧模块三、基本扫描模块

用一片十进制计时芯片来控制四片3-8译码器进行基本扫描,其输入为高频率时钟信号。

✧模块四、片选延时模块

用一片十六进制计时芯片来控制四片3-8译码器轮流工作,即控制显示模块的计数器,依次显示四个口字,其输入为低频率时钟信号。

需保证模块三的高频输入信号是模块四低频信号的8的整数倍。

2.2真值表

Ø基本扫描频率真值表

模块三输入

模块三输出

模块一输出

CLK1

C

B

A

Y0’

Y1’

Y2’

Y3’

Y4’

Y5’

Y6’

Y7’

0

0

0

0

1

1

1

1

1

1

1

0

0

1

1

0

1

1

1

1

1

1

0

1

0

1

1

0

1

1

1

1

1

0

1

1

1

1

1

0

1

1

1

1

1

1

0

1

1

1

1

0

1

1

1

1

0

1

1

1

1

1

1

0

1

1

1

1

0

1

1

1

1

1

1

0

1

1

1

1

1

1

1

1

1

1

1

0

Ø显示口字的真值表

 

模块三输入

模块二绿色小口输出

LED输出

CLK1

Y0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

L0

L1

L2

L3

L4

L5

L6

L7

0

1

1

1

1

1

1

1

0

0

0

0

0

0

0

0

1

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

1

1

0

1

1

1

1

1

0

0

0

0

0

0

0

0

1

1

1

0

1

1

1

1

0

0

0

1

1

0

0

0

1

1

1

1

0

1

1

1

0

0

0

1

1

0

0

0

1

1

1

1

1

0

1

1

0

0

0

0

0

0

0

0

1

1

1

1

1

1

0

1

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

模块三输入

模块二红色小口输出

LED输出

CLK1

Y0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

L0

L1

L2

L3

L4

L5

L6

L7

0

1

1

1

1

1

1

1

0

0

0

0

0

0

0

0

1

0

1

1

1

1

1

1

0

0

0

0

0

0

0

0

1

1

0

1

1

1

1

1

0

0

1

1

1

1

0

0

1

1

1

0

1

1

1

1

0

0

1

0

0

1

0

0

1

1

1

1

0

1

1

1

0

0

1

0

0

1

0

0

1

1

1

1

1

0

1

1

0

0

1

1

1

1

0

0

1

1

1

1

1

1

0

1

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

 

模块三输入

模块二绿色大口输出

LED输出

CLK1

Y0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

L0

L1

L2

L3

L4

L5

L6

L7

0

1

1

1

1

1

1

1

0

0

0

0

0

0

0

0

1

0

1

1

1

1

1

1

0

1

1

1

1

1

1

0

1

1

0

1

1

1

1

1

0

1

0

0

0

0

1

0

1

1

1

0

1

1

1

1

0

1

0

0

0

0

1

0

1

1

1

1

0

1

1

1

0

1

0

0

0

0

1

0

1

1

1

1

1

0

1

1

0

1

0

0

0

0

1

0

1

1

1

1

1

1

0

1

0

1

1

1

1

1

1

0

1

1

1

1

1

1

1

0

0

0

0

0

0

0

0

0

模块三输入

模块二红色大口输出

LED输出

CLK1

Y0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

L0

L1

L2

L3

L4

L5

L6

L7

0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

0

1

1

1

1

1

1

1

0

0

0

0

0

0

1

1

1

0

1

1

1

1

1

1

0

0

0

0

0

0

1

1

1

1

0

1

1

1

1

1

0

0

0

0

0

0

1

1

1

1

1

0

1

1

1

1

0

0

0

0

0

0

1

1

1

1

1

1

0

1

1

1

0

0

0

0

0

0

1

1

1

1

1

1

1

0

1

1

0

0

0

0

0

0

1

1

1

1

1

1

1

1

0

1

1

1

1

1

1

1

1

 

第三章设计原理图及波形仿真图

3.1模块一原理图

3.2模块二原理图

3.3模块三原理图

3.4总原理图

3.4波形仿真图

第四章管脚锁定及硬件连接

5.1管脚锁定

输入管脚锁定

锁定MBIO

C

197

C1

195

行输出管脚锁定

锁定MBIO

Y20

93

Y21

90

Y22

88

Y23

86

Y24

83

Y25

74

Y26

71

Y27

69

红字列输出管脚锁定

锁定MBIO

Y01

192

Y02

190

Y03

187

Y04

177

Y05

175

Y06

173

绿字列输出管脚锁定

锁定MBIO

Y10

198

Y11

196

Y12

193

Y13

191

Y14

189

Y15

179

Y16

176

Y17

174

5.2硬件连接

输入管脚锁定

锁定PIN

C

197

C1

195

行输出管脚锁定

锁定PIN

Y20

93

Y21

90

Y22

88

Y23

86

Y24

83

Y25

74

Y26

71

Y27

69

红字列输出管脚锁定

锁定PIN

Y01

192

Y02

190

Y03

187

Y04

177

Y05

175

Y06

173

绿字列输出管脚锁定

锁定PIN

Y10

198

Y11

196

Y12

193

Y13

191

Y14

189

Y15

179

Y16

176

Y17

174

将程序下载到试验箱后,对应管脚号连接之后进行试验,观察现象与设计一致。

第五章总结

对于EDA课设,我还是充满好奇的,并且,从未知到了解最后到掌握,真的是一件令人满足而又愉快的事情,那我肯定会好好努力全力以赴。

或许对于未知的事物总是会充满些许的畏惧,但是我也明白学习就是在通过对未知的探索不断学习进步的过程,通过自己的坚持和努力必然能够克服困难,达到提高自身水平的目的。

通过老师的指导、自己的摸索以及和同学的探讨,开始有了设计思路,逐步的设计出电路图。

在使用MAX+plusII软件的过程中也认识到了它在设计上的方便。

理论与实践总是会有很大差别的,在周三上箱查看现象时,并未观察到预设实验现象,通过自己的细心查看发现部分电路设计不够严谨,并改进了设计。

经过进一步的调试,出现了理想的实验现象。

虽然,现在EDA课设已经基本完成了,可是我对EDA还是一知半解,也许是课设时间限制而给的任务也挺有难度的吧。

由于不是很清楚,造成对软件利用不全面。

真的很希望课设时间长一些,任务多一些,让我们对EDA的了解更全面一些。

很幸运我有一个很聪明友爱的同伴和两个学识渊博的指导老师可以给我很多有益的帮助和提示才是我顺利的完成了这次的课程设计,增强了联合书本和实际的能力,增强了动手实验能力,很高兴能有这样的一次课程设计。

 

参考文献

◆阎石.数字电子技术基础(第五版).高等教育出版社/2006年出版

◆陈新华.EDA技术与应用.机械工业出版社/2008年出版.

◆潘松黄继业.EDA技术与VHDL(第二版).清华大学出版社/2007年出版

 

指导教师评语:

①该生学习态度(认真较认真不认真)

②该生迟到、早退现象(有无)

③该生依赖他人进行设计情况(有无)

 

平时成绩:

指导教师签字:

2012年12月14日

图面及其它成绩:

答辩小组评语:

①设计巧妙,实现设计要求,并有所创新。

②设计合理,实现设计要求。

③实现了大部分设计要求。

④没有完成设计要求,或者只实现了一小部分的设计要求。

答辩成绩:

组长签字:

2012年12月14日

课程设计综合成绩:

答辩小组成员签字:

2012年12月14日

燕山大学课程设计评审意见表

忽略此处..

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > PPT模板 > 节日庆典

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1