嵌入式技术复习.docx

上传人:b****5 文档编号:27865068 上传时间:2023-07-05 格式:DOCX 页数:12 大小:378.85KB
下载 相关 举报
嵌入式技术复习.docx_第1页
第1页 / 共12页
嵌入式技术复习.docx_第2页
第2页 / 共12页
嵌入式技术复习.docx_第3页
第3页 / 共12页
嵌入式技术复习.docx_第4页
第4页 / 共12页
嵌入式技术复习.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

嵌入式技术复习.docx

《嵌入式技术复习.docx》由会员分享,可在线阅读,更多相关《嵌入式技术复习.docx(12页珍藏版)》请在冰豆网上搜索。

嵌入式技术复习.docx

嵌入式技术复习

1.SOPC常用软件工具,sopc系统开发中负责完成的主要功能:

1)QuartusⅡ6.0

2)SOPCBuilder6.0

3)NiosⅡIDE6.0

4)DSPBuilder6.0

5)Matlab7.1

2.嵌入式系统的概念与组成:

嵌入式系统是指以应用为中心、以计算机技术为基础、软件硬件可裁剪、适应应用系统对功能、可靠性、成本、体积、功耗等严格要求的专用计算机系统

Ø硬件平台

Ø嵌入式操作系统

Ø嵌入式应用软件

3.嵌入式计算机系统VS通用计算机系统

通用计算机系统:

追求高速、海量的数值计算,技术发展方向是总线速度的无限提升、存储容量的无限扩大;

嵌入式计算机系统:

追求对对象的智能化控制能力,技术发展方向是与对象系统密切相关的嵌入性能、控制能力和控制的可靠性。

4.嵌入式系统的发展过程及各个阶段的特点:

早期的嵌入式系统基于通用微型计算机,应用领域受限;

微处理器的问世:

单片机时代;

单片机时代:

嵌入式系统以器件形态迅速进入传统电子技术领域,电子技术应用工程师为主体,嵌入式系统的软、硬件具有底层性和随意性(电子系统应用模式)

嵌入式系统的计算机应用模式:

基于嵌入式软、硬件平台,以网络、通信为主的非嵌入式底层应用。

5.嵌入式系统软件的开发机制及特点:

●基于传统的前/后台程序模式

●基于操作系统模式

前/后台程序模式:

主程序反复循环执行,中断处理突发事件和定时事件;简单易实现,但不能执行多任务、不能保证实时性、复杂系统不易管理。

操作系统模式:

支持多任务执行、可保证实时性、可实现任务间通信和同步、软件可移植性好;需要较强的硬件支持。

6.嵌入式开发常用的硬件平台

1)8位/16位微处理器

2)32位微处理器

3)SOPC技术

7.基于IC互联的嵌入式系统VS基于SOC/SOPC的嵌入式系统

PCB板上IC芯片之间连线时延、PCB板的可靠性、PCB板的尺寸等限制了系统的性能。

基于IC互联的嵌入式系统已经越来越不能满足现代电子系统对整机性能的要求。

SOC以IP核为基础,以硬件描述语言作为系统功能和结构的描述手段,借助于以计算机为平台的EDA工具,将一个完整产品的功能集成在一个芯片上或芯片组上SOC综合、全盘考虑整个系统,解决了系统的时延、可靠性、尺寸等因素,可以实现更高的系统性能。

SOC是专用集成电路系统,其设计周期长、成本高,难以被中小企业、研究院所和大专院校采用。

SOPC(片上可编程系统)是ALTERA公司提出的一种灵活、高效的SOC解决方案:

以FPGA技术为依托,将处理器、存储器、总线、总线控制器、I/O、DSP、锁相环等集成到单一芯片中。

设计灵活、可裁剪、可扩充、可升级,软硬件在系统可编程,丰富的IP核支持,可用户自定制外设,设计周期短、成本低。

8.SOC,SOPC概念:

SOC(片上系统)是指在单芯片上集成系统级、多元化的大规模功能模块,构成一个能够处理各种信息的集成系统。

SOPC是一种灵活、高效的SOC解决方案:

将处理器、存储器、I/O口、硬件加速器等系统需要的功能模块集成到一个FPGA器件上,构成一个可编程的片上系统,是FPGA与SOC技术融合的产物。

9.IP核概念、分类及其简述。

IP(IntellectualProperty)是知识产权的简称,SOC和SOPC的设计均以集成电路IP核为基础。

IP核分为三类:

软核固核硬核

a)IP软核通常采用HDL文本形式提交给用户,经过RTL级设计优化和功能验证,但不含有任何具体的物理信息。

利用IP软核,用户可以综合出正确的门电路级设计网表,并可以进行后续的结构设计,具有很大的灵活性;借助于EDA综合工具可以很容易地与其他外部逻辑电路集成,根据各种不同半导体工艺,设计成具有不同性能的器件。

IP软核也称为虚拟组件(VC,VirtualComponent)。

b)IP硬核是基于半导体工艺的物理设计,已有固定的拓扑布局和具体工艺,并已经过工艺验证,具有可保证的性能。

IP硬核提供给用户的形式是电路物理结构掩模版图和全套工艺文件。

c)IP固核的设计程度介于软核和硬核之间,除了完成软核所有的设计外,还完成了门级电路综合和时序仿真等设计环节。

IP固核一般以门级电路网表的形式提供给用户。

10.基于FPGA嵌入ip硬核的系统sopc与嵌入软核的sopc系统对比

基于FPGA嵌入IP硬核的SOPC系统:

是在FPGA中以硬核的方式预先植入嵌入式系统处理器,然后利用FPGA中的可编程逻辑资源和IP核来实现其他的外围器件和接口。

这样使得FPGA的灵活的硬件设计与处理器的强大运算功能很好地结合。

缺点:

a)硬核多来自第三方公司,FPGA厂商需要支付知识产权费用,导致FPGA器件价格相对偏高。

b)硬核是预先植入的,设计者无法根据实际需要改变处理器的结构,如总线宽度、接口方式等,不能将FPGA逻辑资源构成的硬件模块以指令的形式形成内置嵌入式系统的硬件加速模块。

c)无法根据实际需要在同一FPGA中使用多个处理器核。

d)无法裁剪处理器的硬件资源以降低FPGA成本。

e)只能在特定的FPGA中使用硬核。

基于FPGA嵌入IP软核的SOPC系统可以解决基于硬核的SOPC的缺点。

11.NIOSⅡ软核处理器可定制特性的解释

自身可定制特性

NiosII处理器包括三种内核——快速、标准和经济型

外设的可定制性

a)NiosII开发包中含有一套通用外设和接口库。

b)利用SOPCBuilder软件中的用户逻辑接口向导,用户可以生成自己的定制外设,并将其集成在NiosII处理器系统中。

12.NIOSⅡ软核处理器系统性能的可配置性

◆多CPU内核

◆Avalon总线交换架构

◆用户定制指令

◆用户定制硬件加速逻辑

13.Avalon总线架构与传统总线结构对比

Avalon总线架构:

采用交换式架构,各个主机均有独立的总线,总线主机只需抢占共享从机,而不是抢占总线,某一时刻可以多个主机与多个从机交换数据

传统总线架构:

总线仲裁控制总线主机与总线从机之间通信,某一时刻只有一个主机使用总线,导致带宽瓶颈问题

 

14.支持NIOSⅡ软核处理器的FPGA

●Cyclone、CycloneII、CycloneIII系列

●Stratix、StratixII、StratixIII系列

15.SOPC硬件设计流程:

 

16.SOPC硬件器件引脚分配(至少列出三种)

⏹使用AssignmentsEditor来分配,选择Assignments菜单中的pins或者AssignmentsEditor;

⏹使用TCL脚本一次性分配所有的引脚;

⏹直接编辑工程目录下的“工程名.qsf”文件,set_location_assignmentPIN_器件引脚-to端口名;

 

17.VHDL语言的程序结构

 

18.VHDL语言信号、常量、变量对比?

信号:

SIGNAL

1)信号的赋值是有延迟的,信号用于不同进程之间信息的传递,类似于电路连接的导线;

2)信号具有全局特性,在实体中定义的信号,对应的结构体均可见,在进程和子程序中不能定义信号。

3)信号的初始值仅在VHDL的行为仿真中有效

变量:

VARIABLE

1)变量的赋值是没有延迟的;

2)变量是局部的,可以在结构体、进程、子程序中定义,但只能在进程和子程序中使用。

3)变量的初始值在综合过程中会忽略

常量(常数):

CONSTANT

1)常量的值在程序中不可修改;

2)常量可以在实体、结构体、程序包、块、进程、子程序中定义。

3)常量的可视性取决于其定义的位置。

19.顺序语句并行语句的定义及内涵

顺序语句:

顺序语句用于进程和子程序中,

仿真执行:

顺序语句的执行是顺序的

综合后:

顺序语句的执行是并行的

并行语句:

并行语句用于结构体中,

并行语句的执行是同步的、并行执行的,与书写顺序无关

20.顺序语句:

赋值语句

IF语句

CASE语句

LOOP语句

NEXT语句

EXIT语句

WAIT语句(WAITUNTIL)

子程序调用语句

21.并行语句:

信号赋值语句

过程调用语句

并行信号赋值语句

进程语句

块语句

元件例化语句

生成语句

22.条件型选择型并行信号赋值语句结构

条件型并行信号赋值语句结构

 

选择型并行信号赋值语句结构

 

23.进程语句结构:

 

24.NIOSⅡ处理器的三种内核,及其资源占用情况

●经济型内核,占用600至700个LE

●标准型内核,占用1200至1400个LE

●快速型内核,占用1400至1800个LE

25.画图给出NIOSⅡ软核处理器的体系结构,并能解释其提高系统性能采取的措施?

提高系统性能策略:

1)NIOSⅡ采用哈佛结构:

指令总线、数据总线分开

2)集成指令Cache、数据Cache、紧耦合存储器(TCM)接口

3)集成了指令Cache、数据Cache、紧耦合存储器(TCM)接口

4)支持用户定制指令,方便指令集扩展

26.NIOSⅡ处理器对未实现指令、用户定制指令、浮点指令的处理策略?

当处理器遇到

未实现指令时,处理器会产生一个异常,异常管理器会调用相应程序用软件模拟未实现指令的操作。

NIOSⅡ处理器对未实现指令的处理对程序员是透明的。

用户定制指令:

NIOSⅡ处理器的ALU直接与用户定制指令逻辑相连,对用户定制指令的访问等同于系统指令集的指令。

浮点指令:

NIOSⅡ支持符合IEEESTD754-1985规范的单精度浮点指令,浮点指令以用户定制指令的方式实现。

 

27.NIOSⅡ内核访问存储器和I/O的方式?

指令主端口、指令高速缓存、数据主端口、数据高速缓存、紧耦合指令或、数据存储器端口

 

28.NIOSⅡ的异常处理

 

29.NIOSⅡ的异常处理流程

 

30.NIOSⅡ的异常处理的优先级

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 党团工作 > 入党转正申请

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1