正负脉宽数控调制信号发生器.doc

上传人:b****3 文档编号:2599518 上传时间:2022-11-03 格式:DOC 页数:24 大小:813.50KB
下载 相关 举报
正负脉宽数控调制信号发生器.doc_第1页
第1页 / 共24页
正负脉宽数控调制信号发生器.doc_第2页
第2页 / 共24页
正负脉宽数控调制信号发生器.doc_第3页
第3页 / 共24页
正负脉宽数控调制信号发生器.doc_第4页
第4页 / 共24页
正负脉宽数控调制信号发生器.doc_第5页
第5页 / 共24页
点击查看更多>>
下载资源
资源描述

正负脉宽数控调制信号发生器.doc

《正负脉宽数控调制信号发生器.doc》由会员分享,可在线阅读,更多相关《正负脉宽数控调制信号发生器.doc(24页珍藏版)》请在冰豆网上搜索。

正负脉宽数控调制信号发生器.doc

EDA设计基础实验课程论文

EDA设计基础实验课程论文

题目正负脉宽数控调制信号发生器

学院

专业班级通信班

学生姓名大彬哥

指导教师大力会

18

摘要

介绍了Verilog-HDL语言在正负脉宽数控调制信号发生器中的具体应用,给出了仿真波形,说明了实现电子电路的自动化设计(EDA)过程和EDA技术在现代数字系统中的重要地位及作用.

关键词:

Verilog-HDLEDA仿真

Abstract

IntroducestheVerilogHDL-languageinpositiveandnegativepulsewidthdigitalmodulationsignalgeneratorinthespecificapplicationandsimulationwaveformisgiven,andillustratestheprocessofelectronicdesignautomation(EDA)ofthecircuitandEDAtechnologyintheimportantpositionandroleofmoderndigitalsystem.

Keywords:

Verilog-HDL;EDA;Simulation

目录

摘要 I

Abstract II

第1章绪论 1

1.1概述 1

1.2脉宽调制技术的研究现状 1

1.2.1脉宽调制技术的应用 1

1.2.2脉宽调制的优点 1

第2章脉宽调制系统的基本原理 2

2.1EDA技术 2

2.1.1EDA技术的优势 2

2.1.2EDA的发展趋势 2

2.2QuartusⅡ软件 3

2.1.1QuartusⅡ简介 3

2.1.2QuartusⅡ的功能 3

2.3Verilog-HDL语言 4

2.3.1语言简介 4

2.3.2Verilog-HDL主要能力 4

第3章信号发生器设计过程 8

3.1核心设计 8

3.2分频器的设计 10

第4章软件仿真及硬件验证 11

4.1软件仿真 11

4.2硬件验证 14

4.2.1引脚锁定 14

4.2.2下载验证 14

结论 16

参考文献 17

附录 18

第1章绪论

1.1概述

脉宽调制(PWM)是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。

1.2脉宽调制技术的研究现状

脉宽调制(PWM)基本原理:

控制方式就是对逆变电路开关器件的通断进行控制,使输出端得到一系列幅值相等的脉冲,用这些脉冲来代替正弦波或所需要的波形。

也就是在输出波形的半个周期中产生多个脉冲,使各脉冲的等值电压为正弦波形,所获得的输出平滑且低次谐波少。

按一定的规则对各脉冲的宽度进行调制,即可改变逆变电路输出电压的大小,也可改变输出频率。

1.2.1脉宽调制技术的应用

PWM控制技术主要应用在电力电子技术行业,具体讲,包括风力发电、电机调速、直流供电等领域,由于其四象限变流的特点,可以反馈再生制动的能量,对于目前国家提出的节能减排具有积极意义。

通过改变导通时间占总时间的比例,也就是占空比,达到调整电压和频率的目的。

广泛用于调压调频,针对各种类型的电机应用,是最突出的。

1.PWM软件法控制充电电流

2.PWM在推力调制中的应用

3.在LED中的应用

1.2.2脉宽调制的优点

PWM的一个优点是从处理器到被控系统信号都是数字形式的,无需进行数模转换。

让信号保持为数字形式可将噪声影响降到最小。

噪声只有在强到足以将逻辑1改变为逻辑0或将逻辑0改变为逻辑1时,也才能对数字信号产生影响。

对噪声抵抗能力的增强是PWM相对于模拟控制的另外一个优点,而且这也是在某些时候将PWM用于通信的主要原因。

从模拟信号转向PWM可以极大地延长通信距离。

在接收端,通过适当的RC或LC网络可以滤除调制高频方波并将信号还原为模拟形式。

总之,PWM既经济、节约空间、抗噪性能强,是一种值得广大工程师在许多设计应用中使用的有效技术。

第2章脉宽调制系统的基本原理

2.1EDA技术

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

1.2EDA的优势及其发展趋势

2.1.1EDA技术的优势

1.用HDL对数字系统进行抽象的行为与功能描述到具体的内部线路结构,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期。

2.EDA工具之所以能够完成各种自动设计过程,关键是有种类库的支持,如逻辑仿真时的模拟库、逻辑综合时的综合库、版图综合时的版图库、测试综合时的测试库等。

3.某些HDL本身也是文档型的语言(如VHDL),极大地简化了设计文档的管理。

4.EDA中最为瞩目的功能,最具现代化电子设计技术特征的功能,是日益强大的逻辑设计仿真测试技术。

极大地提高了大规模系统电子设计的自动化程度。

2.1.2EDA的发展趋势

1.超大规模集成电路的集成度和工艺水平不断提高,深亚微米(Deep-Submicron)工艺,如0.13um、90nm已经走向成熟,在一个芯片上完成的系统级的集成已经成为可能。

2.由于工艺不断减小,在半导体材料上的许多寄生效应已经不能简单地补码忽略,这就对EDA工具提出了更高的要求。

同时,也使得IC生产线的投资更为巨大。

3.高性能的EDA工具得到长足的发展,其自动化和智能化程度不断提高,为嵌入式系统设计提供了功能强大的开发环境。

4.市场对电子产品提出了更高的要求,从而也对系统的集成度不断提出更高的要求。

同时,设计的效率也成了一个产品能否成功的因素,促使EDA工具应用更为广泛。

2.2QuartusⅡ软件

2.1.1QuartusⅡ简介

QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

QuartusII可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。

具有运行速度快,界面统一,功能集中,易学易用等特点。

QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。

对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

此外,QuartusII通过和DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

MaxplusII作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。

目前Altera已经停止了对MaxplusII的更新支持,QuartusII与之相比不仅仅是支持器件类型的丰富和图形界面的改变。

Altera在QuartusII中包含了许多诸如SignalTapII、ChipEditor和RTLViewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了MaxplusII友好的图形界面及简便的使用方法。

AlteraQuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

2.1.2QuartusⅡ的功能

QuartusII提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:

可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片(电路)平面布局连线编辑;

LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块;

功能强大的逻辑综合工具;

完备的电路功能仿真与时序逻辑仿真工具;

定时/时序分析与关键路径延时分析;

可使用SignalTapII逻辑分析工具进行嵌入式的逻辑分析;

支持软件源文件的添加和创建,并将它们链接起来生成编程文件;

使用组合编译方式可一次完成整体设计流程;

自动定位编译错误;

高效的期间编程与验证工具;

可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;

能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。

2.3Verilog-HDL语言

VerilogHDL是一种硬件描述语言(HDL:

HardwareDiscriptionLanguage),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。

VerilogHDL和VHDL是目前世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。

前者由GatewayDesignAutomation公司(该公司于1989年被Cadence公司收购)开发。

两种HDL均为IEEE标准。

2.3.1语言简介

VerilogHDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。

被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。

数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。

VerilogHDL语言具有下述描述能力:

设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。

所有这些都使用同一种建模语言。

此外,VerilogHDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间从设计外部访问设计,包括模拟的具体控制和运行。

VerilogHDL语言不仅定义了语法,而且对每个语法结构都定义了清晰的模拟、仿真语义。

因此,用这种语言编写的模型能够使用Verilog仿真器进行验证。

语言从C编程语言中继承了多种操作符和结构。

VerilogHDL提供了扩展的建模能力,其中许多扩展最初很难理解。

但是,VerilogHDL语言的核心子集非常易于学习和使用,这对大多数建模应用来说已经足够。

当然,完整的硬件描述语言足以对从最复杂的芯片到完整的电子系统进行描述。

2.3.2Ver

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销 > 财务管理

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1