AD转换电路的PCB板图设计.docx

上传人:b****2 文档编号:2454980 上传时间:2022-10-29 格式:DOCX 页数:11 大小:373.51KB
下载 相关 举报
AD转换电路的PCB板图设计.docx_第1页
第1页 / 共11页
AD转换电路的PCB板图设计.docx_第2页
第2页 / 共11页
AD转换电路的PCB板图设计.docx_第3页
第3页 / 共11页
AD转换电路的PCB板图设计.docx_第4页
第4页 / 共11页
AD转换电路的PCB板图设计.docx_第5页
第5页 / 共11页
点击查看更多>>
下载资源
资源描述

AD转换电路的PCB板图设计.docx

《AD转换电路的PCB板图设计.docx》由会员分享,可在线阅读,更多相关《AD转换电路的PCB板图设计.docx(11页珍藏版)》请在冰豆网上搜索。

AD转换电路的PCB板图设计.docx

AD转换电路的PCB板图设计

合肥学院

课程设计报告

 

题目:

A/D转换电路的PCB板图设计

系别:

__电子信息与电气工程系

专业:

__通信工程_______

班级:

通信

(2)班___

学号:

____________

*********************

******************

成绩:

________________________

 

2012年09月18日

课程设计任务书

设计

题目

A/D转换电路的PCB板图设计

设计类型

应用型

导师姓名

张大敏

主要内容及目标

 

1完成A/D转换电路原理图及其PCB板图的设计;

2完成整流稳压电路仿真图设计,并对其进行仿真,输出几个节点的电压信号;

具有的设计条件

计算机及protel99se软件。

计划学生数及任务

任务:

①绘制A/D转换电路原理图;

②电路原理图元器件库的设计;

③PCB板图设计;

④PCB板图封装库设计

⑤根据提供的电路仿真图进行仿真;

计划设计进程

1、从接题开始收集资料、准备设计

2、第1周学习电路原理图的绘制及PCB板图制作方法;

3、第2周完成设计任务,同时编写设计报告;

参考文献

1、《Protel99SE电路原理图与PCB设计及仿真》,机械工业出版社,作者:

清源科技   

2、《电路设计与制版protel99高级应用》,老虎工作室赵晶编著,人民邮电出版社

3、《protel电路原理图与pcb设计108例》,李华嵩编著,中国青年出版社

 

 

A/D转换电路的PCB板设计

一、Protel99SE简介

  EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

EDA技术是现代电子工程领域的一门新技术,它提供了基于计算机的电路设计方法。

EDA技术的发展和推广极大的推动了电子产业的发展。

掌握EDA技术是电子工程师就业的基本条件之一。

基于PCB的电路设计是EDA技术的重要内容,Protel软件是实现基于PCB设计的一个杰出工具。

Protel99SE软件主要包含以下几个模块:

原理图设计软件、电路板设计软件、用于PCB自动布线的ProtelAdvancedRoute99SE、可编程逻辑器件设计的ProtelAdvancedPLD、用于电路仿真的AdvancedSIM和用于信号完整分析的Advancedintegrity,其基本功能满足了一般用户的需求,对于初学者来说,软件容易上手、成本低。

二、实验主要内容

本次实验我们使用Proter99SE将A/D转换电路制作成PCB板和仿真电路设计。

A/D转换电路制作成PCB板其步骤有:

环境设计、创建schematicDocument文件画出原理图,检验原理图、生成网表,建立PCBDocument文件载入网表、封装,元件布局、手动布线、电气检查、输出打印,结束。

三、实验过程

3.1原理图设计

(1)启动原理图设计服务器

进入Protel99SE,创建一个数据库,执行菜单File菜单上的New命令,系统会弹出一个界面,由于在机房里计算机中只有F盘没有还原,故都保存在此盘中。

(2)设置设计环境

设置环境包括设置个点大小和类型、光标类型等。

一般大多数参数均可用系统默认值,设置之后无需修改。

可在Tools下拉菜单的Preferences选项中进行环境设置。

(3)装入所需的元件库

在设计管理器中选择BrowseSCH页面,在Browse区域中的下拉框中选择Library,然后单击ADD/Remove按钮,在弹出的窗口中寻找Protel99SE子目录,在该目录中选择Library\SCH路径,在元件库列表中选择所需的元件库,常见元件库ProtelDOSSchematicLibraries.ddb;Sim.ddb,单击ADD按钮,即可把元件库增加到元件库管理器中。

 

 

(4)放置元件及布线

根据实际电路的需要,到元件库中找出所需的元件,然后用元件管理器的Place按钮将元件放置在工作平面上,再根据元件之间的走线把元件调整好。

接着利用Protel99SE提供的各种工具、指令进行布线,将工作平面上的器件进行排列好,以便后面生成PCB板地美观,以及可以及时有效的发现错误。

原理图如下:

A/D转换电路制原理

 

3.2原件库设计

设计步骤:

原理图中大部分元件都可以在元件库里找到,直接添加,但是有些元件在元件库中是找不到的,这就需要自己绘制。

下面以我们老师给我们的A/D转换图中在元件库里找不到的元件的绘制进行元件图的绘制和添加,新建如图文件:

通过工具框绘制元件如下图:

重命名后保存即可。

回到原理图绘制界面,按以上步骤加载自定义的元件库,就可以找到刚才绘制的元件。

具体步骤如下:

1.在工程下建立菜单栏File里新建schlib1.Lib

2.打开新建的schlib1.Lib,利用工具画出如下图所示的图

3.保存元件用Tools------RenameCommponent保存元件,元件名为DIP,当使用要DIPddRemove就可到此元件,然后进行和其他原件一起组装使用即可。

 

4.元件图

3.3PCB板图及封装库设计

3.3.1封装库设计

由于上文提到了元件DIP在封装库中没有其所需的封装我们需创建一个封装DIP。

以便在后面的过程生成PCB所用。

设计步骤:

1.建立文件PCBLibrayDocument文件。

2.在topoverlay层画上边框。

一般都是在topoverlay层画上边框,可以以原点为(00)的进行画图,比较容易,如果不在原点,可以通过计算画出其长度和大小。

3.保存封装名为与我们老师所给个一致就可以了。

3.3.2PCB板规划

设计好的元件需要放入PCB板中,所以我们需要规划一个大小适合的PCB板来盛放这些元件。

设计步骤:

1.建立文件PCBLibray文件并打开。

2.设置好原点,在keepoutlayer画出边框大小为四个小方格。

一定要在keepoutlayer的环境中进行,不然以后排线和布局就无法正确的完成

3.3.3元件的封装

1.元件的封装是生成pcb过程中必须要完成的一项工作,首先打开常见元件的封装名

2.对于元件的属性找到相应的封装,然后载入。

3.3.4络表生成/载入

1.网表生成:

PCB板规划完成后,我们需要在入网表(.NET文件),网表在原理图做好时可以通过Design-----Netlistcreation命令来生成。

如图

网络表文件图

3.网络表载入:

生成网络表之后我们就可以在PCB文件中载入它了,使用Design------loadNets----Execute来载入元件封装。

3.3.5PCB板图

根据上面设计的电路确定电路板的物理尺寸及电气边界。

步骤如下:

1.进入PCB设计编辑器,单击编辑区下方的KeepOutLayer,将当前工作层设置为KeepOutLayer,如图所示。

该层为禁止布线层,一般用于设置电路板的边界。

执行菜单命令Place/Keepout/Track,绘制电路板的边框。

执行菜单Design/Options,在“SignalLager”中选择BottomLager,把电路板定义为单面板。

2.执行命令Design/LoadNets,显示如下图所示的“Load/ForwardAnnotateNetlist”对话框。

单击Browse按钮,打开“Select”对话框。

在其中选择上面准备好的sheet1netlist文件。

若显示以上结果,则表示加载sheet1netlist无误,否则必须修改原理图,并且必须重新生成sheet1netlist后重复上述步骤。

确认没有错误信息后,单击Execute按钮,加载sheet1netlist与元件到电路板上。

3.元件后,我们可以把元件拖入边框之中并排列元件位置,排列好后再手工调整一下使得PCB板更加美观。

4.布好后就可以布线了,利用工具栏中的工具进行手动连接各器件之间的导线,导线之间尽量避免交叉,手动连线的时间比较长,所以要细心进行。

5.PCB生成图如下所示:

 

PCB生成图

 

3D效果图

四、小结

在两个星期的EDA实训中,我自己学到了很多的知识,不仅是动手能力还是接受问题的能力都有了一个比较大的提高,在做实验的过程中当然也遇到了许多的问题。

在实训中我对这门以计算机为主体的课程有了一个比较深刻的理解。

EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。

在教学方面,几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。

  科研方面主要利用电路仿真工具进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。

  从应用领域来看,EDA技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA应用。

另外,EDA软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途。

如AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图、汽车和飞机的模型、电影特技等领域。

在学习的工程中我也遇到了很多的问题和困难,下面就我遇到的问题我做个简单的罗列。

在刚开始的学习过程中,由于英语不好,工具栏上面很多的东西都不能应用。

在画电路图的过程中依然会有很多的问题,最主要的是找器件的问题,很多器件不知道它的全名所以很难找到。

在PCB中,一开始没有用keepoutlayer进行画个方格,而是随便画的,最后导致自动布线,自动布局没法正确的完成。

在自己画器件的过程中有很多的工具不会用,画好了也是稀里糊涂的将其添加到PCB里面去了,具体是如何添加进去的感觉不太清楚。

而且老师只教我们手动布局和排线,实际我觉得自动布局跟排线很省时间和精力。

不过,手动布局跟排线比自动布局和排线美观的多。

在两个星期的实训中,老师教会了我很多知识,特别是老师教会了我应该怎么的去学习,在此非常感谢老师在我实训的期间给我的帮助和鼓励,谢谢老师,您辛苦了。

参考文献:

1、《Protel99SE电路原理图与PCB设计及仿真》,机械工业出版社,作者:

清源科技   

2、《电路设计与制版Protel99高级应用》,老虎工作室赵晶编著,人民邮电出版社

3、《Protel电路原理图与PCB设计108例》,李华嵩编著,中国青年出版社

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1