EDA电子琴设计.docx

上传人:b****8 文档编号:23966464 上传时间:2023-05-23 格式:DOCX 页数:19 大小:177.51KB
下载 相关 举报
EDA电子琴设计.docx_第1页
第1页 / 共19页
EDA电子琴设计.docx_第2页
第2页 / 共19页
EDA电子琴设计.docx_第3页
第3页 / 共19页
EDA电子琴设计.docx_第4页
第4页 / 共19页
EDA电子琴设计.docx_第5页
第5页 / 共19页
点击查看更多>>
下载资源
资源描述

EDA电子琴设计.docx

《EDA电子琴设计.docx》由会员分享,可在线阅读,更多相关《EDA电子琴设计.docx(19页珍藏版)》请在冰豆网上搜索。

EDA电子琴设计.docx

EDA电子琴设计

 

河北科技大学

课程设计报告

 

学生姓名:

专业班级:

课程名称:

EDA课程设计

学年学期:

 

指导教师:

2012年6月

 

课程设计成绩评定表

学生姓名

学号

成绩

专业班级

起止时间

设计题目

可编曲的电子琴

 

指导教师:

年月日

六引脚图-------------------------------------------------------------------------22

八参考文献----------------------------------------------------------------------22

一、设计目的

1)利用数控分频器设计一个电子琴硬件电路和音乐发生器,设计达到演奏时可以选择是手演奏(键盘输入),或自动演奏已存入的乐曲,并且能自动演奏。

2)巩固和运用所学课程,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力,通过对一个简易的13音符电子琴的设计,进一步加深对计算机原理以及数字电路应用技术方面的了解及认识,进一步熟悉数字电路系统设计、制作及调试的方法和步骤。

巩固所学课堂知识,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力。

为了进一步了解计算机组成原理及系统结构,深入学习EDA技术,用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。

3)提高学生对eda软件实践操作能力和工程设计能力。

二、设计要求

基础部分

1)电子琴设计两种模式,演奏模式和回放模式,演奏模式下按开始键即可按13个音符键演奏,自动记录前10秒的演奏。

回放模式下自动播放演奏记录。

播放完后回到演奏模式。

2)演奏或播放时,数码管显示音符(无按键时显示--);记录采样为20Hz;12个音符为

用_5_6_71234567-1-2-3表示;模式状态用数码管最高位显示:

演奏模式显示A,开始演奏键后A闪烁;回放模式显示P。

发挥部分

变速播放、变音高播放等。

三、设计原理及过程

1.设计规划

根据系统设计要求,系统设计采用自顶向下的设计方法,它由演奏模块、音调发生模块和数控分频模块,存储模块,显示模块五部分组成.

2.基础部分原理

本课程设计目的在于灵活运用eda技术编程实现一个简易电子琴的乐曲演奏,它要求在实验箱上构造一个电子琴电路,不同的音阶对应不同频率的正弦波。

按下每个代表不同音阶的按键时,能够发出相对应频率的声音。

故系统可分为演奏模块(auto)、音调发生模块(tone)和数控分频模块(fenpin)和显示模块(xianshi)四部.

3.简易电子琴的设计流程

根据系统设计要求,系统该系统基于计算机中时钟分频器的原理,设计采用自顶向下的设计方法,通过按键输入来控制音响或者自动演奏已存入的歌曲。

它由乐曲演奏模块、音调发生模块和数控分频模块三部分组成。

用vhdl语言设计电路的流程:

1.使用文本编辑器输入设计源文件。

2.使用编译工具编译源文件:

vhdl的编译语言。

3.功能仿真。

4.综合。

综合的目的是在于将设计的源文件由语言转换为实际的电路。

这一部分最终目的是生成门电路级的网表(netlist)。

5.框图布局、布线。

这一步的目的是生成用于编程文件。

先将各个设计中的门根据网表的内容和器件的结构放在器件的特定部位。

然后,在根据网表中提供的各门的连接,把各个门的输入输出连接起来。

6.编译完成,下载。

四、课程设计的程序模块及仿真波形

1.数控分频模块(fenpin)

该模块主要由3个工作进程(pulse1,genspks,delayspks)组成。

首先,根据系统时钟信号的输入得到时基脉冲以及计数器的值,而时钟信号在auto模块中便已给出,两者之间的设置关系类似于auto模块中第一个工作进程的设置。

第二个process是此模块的核心,即由时基脉冲值转化为音符的频率。

模块程序:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_ARITH.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYFENPINIS

PORT(CLK1:

INSTD_LOGIC;--系统时钟

TONE1:

ININTEGERRANGE0TO669;--音符分频系数

SPKS:

OUTSTD_LOGIC);--驱动扬声器的音频信号

ENDFENPIN;

ARCHITECTUREBEHAVIORALOFFENPINIS

SIGNALPRECLK,FULLSPKS:

STD_LOGIC;

BEGIN

PULSE1:

PROCESS(CLK1)--此进程对系统时钟进行4分频

VARIABLECOUNT:

INTEGERRANGE0TO8;

BEGIN

IFCLK1'EVENTANDCLK1='1'THENCOUNT:

=COUNT+1;

IFCOUNT=2THENPRECLK<='1';

ELSIFCOUNT=4THENPRECLK<='0';COUNT:

=0;

ENDIF;

ENDIF;

ENDPROCESSPULSE1;

GENSPKS:

PROCESS(PRECLK,TONE1)

--此进程按照TONE1输入的分频系数再次分频,得到所需要的音符频率

VARIABLECOUNT11:

INTEGERRANGE0TO669:

=1;

BEGIN

IFPRECLK'EVENTANDPRECLK='1'THEN

IFCOUNT11

=COUNT11+1;FULLSPKS<='1';

ELSIFCOUNT11=TONE1THENCOUNT11:

=1;FULLSPKS<='0';

ENDIF;

ENDIF;

ENDPROCESS;

DELAYSPS:

PROCESS(FULLSPKS)--此进程对FULLSPKS进行2分频

VARIABLECOUNT2:

STD_LOGIC:

='0';

BEGIN

IFFULLSPKS'EVENTANDFULLSPKS='1'THENCOUNT2:

=NOTCOUNT2;

IFCOUNT2='1'THENSPKS<='1';

ELSESPKS<='0';

ENDIF;

ENDIF;

ENDPROCESS;

ENDBEHAVIORAL;

仿真图:

2.音调发生模块(tone)

音调发生模块的作用是产生音阶的分频预置值。

当13位发声控制输入信号中的某一位为高电平时,则对应某一音阶的数值将输出,该数值即为该音阶的分频预置值,分频预置值控制数控分频模块进行分频,由此得到每个音阶对应的频率,根据频率的不同,从而能通过喇叭听到不同的声音,实现音乐的播放。

模块程序:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_ARITH.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYTONEIS

PORT(INDEX:

INSTD_LOGIC_VECTOR(7DOWNTO0);--音符输入信号

CODE:

OUTSTD_LOGIC_VECTOR(7DOWNTO0);--音符显示信号

HIGH:

OUTSTD_LOGIC_VECTOR(7DOWNTO0);--高低音显示信号

TONE0:

OUTINTEGERRANGE0TO669);--音符的分频系数

ENDTONE;

ARCHITECTUREARTOFTONEIS

BEGIN

SEARCH:

PROCESS(INDEX)

BEGIN

CASEINDEXIS

WHEN"00000001"=>TONE0<=669;CODE<="01101101";HIGH<="00001000";

--分频系数,音符显示01101101,显示低音

WHEN"00000010"=>TONE0<=596;CODE<="01111101";HIGH<="00001000";

WHEN"00000011"=>TONE0<=531;CODE<="00000111";HIGH<="00001000";

WHEN"00000100"=>TONE0<=501;CODE<="00000110";HIGH<="00000000";

WHEN"00000101"=>TONE0<=447;CODE<="01011011";HIGH<="00000000";

WHEN"00000110"=>TONE0<=398;CODE<="01001111";HIGH<="00000000";

WHEN"00000111"=>TONE0<=376;CODE<="01100110";HIGH<="00000000";

WHEN"00001000"=>TONE0<=335;CODE<="01101101";HIGH<="00000000";

WHEN"00001001"=>TONE0<=299;CODE<="01111101";HIGH<="00000000";

WHEN"00001010"=>TONE0<=266;CODE<="00000111";HIGH<="00000000";

WHEN"00001011"=>TONE0<=251;CODE<="00000110";HIGH<="01000000";

WHEN"00001100"=>TONE0<=224;CODE<="01011011";HIGH<="01000000";

WHEN"00001101"=>TONE0<=200;CODE<="01001111";HIGH<="01000000";

WHEN"00001110"=>TONE0<=189;CODE<="01100110";HIGH<="01000000";

WHEN"00001111"=>TONE0<=168;CODE<="01101101";HIGH<="01000000";

WHEN"00010000"=>TONE0<=150;CODE<="01111101";HIGH<="01000000";

WHEN"00010001"=>TONE0<=134;CODE<="00000111";HIGH<="01000000";

WHEN"00010010"=>TONE0<=126;CODE<="00000110";HIGH<="00000001";

WHEN"00010011"=>TONE0<=113;CODE<="01011011";HIGH<="00000001";

WHEN"00010100"=>TONE0<=101;CODE<="01001111";HIGH<="00000001";

WHENOTHERS=>TONE0<=0;CODE<="01000000";HIGH<="01000000";

ENDCASE;

ENDPROCESS;

ENDART;

仿真图:

3.数码显示模块(xianshi)

由于要显示0—F之外的字母,必须采用动态扫描显示,及实验三内容相似,分两个进程,不同的数据对应不同的状态和位码。

模块程序:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYXIANSHIIS

PORT(CLK:

INSTD_LOGIC;

CODE1:

INSTD_LOGIC_VECTOR(7DOWNTO0);

HIGH1:

INSTD_LOGIC_VECTOR(7DOWNTO0);

SIGN:

INSTD_LOGIC_VECTOR(7DOWNTO0);

DATA:

OUTSTD_LOGIC_VECTOR(7DOWNTO0);

TIME:

BUFFERSTD_LOGIC_VECTOR(2DOWNTO0));

ENDENTITYXIANSHI;

ARCHITECTURESIMPLEOFXIANSHIIS

SIGNALA:

STD_LOGIC_VECTOR(1DOWNTO0):

="00";

BEGIN

PRO1:

PROCESS(CLK)

BEGIN

IFCLK'EVENTANDCLK='1'THENA<=A+1;ENDIF;

ENDPROCESSPRO1;

PRO2:

PROCESS(A)

BEGIN

CASEAIS

WHEN"00"=>TIME<="001";DATA<=CODE1;

WHEN"01"=>TIME<="010";DATA<=HIGH1;

WHEN"10"=>TIME<="100";DATA<=SIGN;

WHENOTHERS=>NULL;

ENDCASE;

ENDPROCESSPRO2;

ENDARCHITECTURESIMPLE;

仿真图:

4.乐曲演奏模块(auto)

乐曲演奏模块的作用是产生13位发生控制输入信号并存储。

当进行回放时时,由存储在数组中的8位二进制数作为发声控制输入,从而回放乐曲。

同时还加入了扩展内容。

模块程序:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_ARITH.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYAUTOIS

PORT(CLK:

INSTD_LOGIC;--系统时钟信号

AUTO:

INSTD_LOGIC;--回放

BIANYIN:

INSTD_LOGIC;

BIANSU:

INSTD_LOGIC;

HAND:

INSTD_LOGIC;--键盘输入

WRITE:

INSTD_LOGIC;

INDEX0:

OUTSTD_LOGIC_VECTOR(7DOWNTO0);--音符信号输出

D:

INSTD_LOGIC_VECTOR(7DOWNTO0);

SIGN0:

OUTSTD_LOGIC_VECTOR(7DOWNTO0));--功能信号输出

ENDAUTO;

ARCHITECTUREBEHAVIORALOFAUTOIS

SUBTYPEWORDIS

STD_LOGIC_VECTOR(7DOWNTO0);

TYPEMEMORYISARRAY(0TO49)OFWORD;

SIGNALSRAM:

MEMORY;

SIGNALSIGN1:

STD_LOGIC_VECTOR(7DOWNTO0);

SIGNALNT:

INTEGERRANGE0TO50:

=0;

SIGNALSONG:

STD_LOGIC_VECTOR(7DOWNTO0);

BEGIN

COM2:

PROCESS(CLK)--手动模式,变高音

BEGIN

IFHAND='1'ANDBIANYIN='1'THENINDEX0<=D+7;SIGN0<=SIGN1;ELSIFHAND='1'ANDBIANYIN='0'THENINDEX0<=D;SIGN0<=SIGN1;

ELSIFAUTO='1'THENSIGN0<="01110011";INDEX0<=SONG;

ELSESIGN0<="00000000";INDEX0<="00000000";

ENDIF;

ENDPROCESS;

COM3:

PROCESS(WRITE)--闪烁,0.5S

VARIABLECOUNT:

INTEGERRANGE0TO40;

BEGIN

IF(WRITE'EVENTANDWRITE='1')THEN

IF(COUNT=20)THEN

COUNT:

=COUNT+1;

SIGN1<="01110111";

ELSIF(COUNT=40)THEN

COUNT:

=0;

SIGN1<="00000000";

ELSECOUNT:

=COUNT+1;

ENDIF;

ENDIF;

ENDPROCESS;

COM4:

PROCESS(WRITE)--存储和扩展

VARIABLEADR:

STD_LOGIC_VECTOR(7DOWNTO0):

="00000000";

VARIABLEADR_IN:

INTEGERRANGE0TO49;

VARIABLECOUNT:

INTEGERRANGE0TO4;

BEGIN

IF(WRITE'EVENTANDWRITE='1')THENCOUNT:

=COUNT+1;

IF(NT<50)THENADR_IN:

=CONV_INTEGER(ADR);

IF(HAND='1')THENSRAM(ADR_IN)<=D;NT<=NT+1;ADR:

=CONV_STD_LOGIC_VECTOR(CONV_INTEGER(ADR)+1,8);

ELSIF(AUTO='1'ANDBIANYIN='1'ANDBIANSU='1'ANDCOUNT=4)THENSONG<=SRAM(ADR_IN)+7;NT<=NT+1;ADR:

=CONV_STD_LOGIC_VECTOR(CONV_INTEGER(ADR)+1,8);COUNT:

=0;

ELSIF(AUTO='1'ANDBIANYIN='0'ANDBIANSU='1'ANDCOUNT=4)THENSONG<=SRAM(ADR_IN);NT<=NT+1;ADR:

=CONV_STD_LOGIC_VECTOR(CONV_INTEGER(ADR)+1,8);COUNT:

=0;

ELSIF(AUTO='1'ANDBIANYIN='1'ANDBIANSU='0')THENSONG<=SRAM(ADR_IN)+7;NT<=NT+1;ADR:

=CONV_STD_LOGIC_VECTOR(CONV_INTEGER(ADR)+1,8);

ELSIF(AUTO='1'ANDBIANYIN='0'ANDBIANSU='0')THENSONG<=SRAM(ADR_IN);NT<=NT+1;ADR:

=CONV_STD_LOGIC_VECTOR(CONV_INTEGER(ADR)+1,8);

ENDIF;

ELSIF(AUTO='1')THENADR:

="00000000";NT<=0;

ENDIF;

ENDIF;

ENDPROCESS;

ENDBEHAVIORAL;

仿真图:

五、顶层模块设计

该dianziqin模块是整个电子琴设计的核心,也是vhdl程序的主程序,前面4个源程序都是作为子程序分别实现电子琴的某一功能,而dianziqin模块则通过调用子程序最终实现乐曲演奏的目的,奏出美妙的乐曲。

顶层模块程序:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_ARITH.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYDIANZIQINIS

PORT(CLOCK:

INSTD_LOGIC;--系统时钟信号

BIANSU:

INSTD_LOGIC_VECTOR(3DOWNTO0);

HANDTOAUTO:

INSTD_LOGIC;--回放信号

HANDTOAUTO1:

INSTD_LOGIC;--手动信号

DATA:

OUTSTD_LOGIC_VECTOR(7DOWNTO0);

TIME:

BUFFERSTD_LOGIC_VECTOR(2DOWNTO0);

INDEX1:

INSTD_LOGIC_VECTOR(7DOWNTO0);--键盘输入信号

SPKOUT:

OUTSTD_LOGIC);--音频信号

END;

ARCHITECTUREARTOFDIANZIQINIS

COMPONENTXIANSHI

PORT(CLK:

INSTD_LOGIC;

CODE1:

INSTD_LOGIC_VECTOR(7DOWNTO0);

HIGH1:

INSTD_LOGIC_VECTOR(7DOWNTO0);

SIGN:

INSTD_LOGIC_VECTOR(7DOWNTO0);

DATA:

OUTSTD_LOGIC_VECTOR(7DOWNTO0);

TIME:

BUFFERSTD_LOGIC_VECTOR(2DOWNTO0));

ENDCOMPONENT;

COMPONENTAUTO--引用AUTO元件

PORT(CLK:

INSTD_LOGIC;--系统时钟信号

BIANSU:

INSTD_LOGIC_VECTOR(3DOWNTO0);

AUTO:

INSTD_LOGIC;--回放

HAND:

INSTD_LOGIC;--键盘输入

INDEX0:

OUTSTD_LOGIC_VECTOR(7DOWNTO0);--音符信号输出

D:

INSTD_LOGIC_VECTOR(7DOWNTO0);

SIGN0:

OUTSTD_LOGIC_VECTOR(7DOWNTO0));--功能信号输出

ENDCOMPONENT;

COMPONENTTONE--引用TONE元件

PORT(INDEX:

INSTD_LOGIC_VECTOR(7DOWNTO0);

CODE:

OUTSTD_LOGIC_VECTOR(7DOWNTO0);

HIGH:

OUTSTD_LOGIC_VECTOR(7DOWNTO0);

TONE0:

OUTINTEGERRANGE0TO669);

ENDCOMPONENT;

COMPONENTFENPIN--引用FENPIN元件

PORT(CLK1:

INSTD_LOGIC;

TONE1:

ININTEGERRANGE0TO669;

SPKS:

OUTSTD_LOGIC);

ENDCOMPONENT;

SIGNALTONE2:

INTEGERRANGE0TO669;--定义主程序音调频率信号

SIGNALINDX:

STD_LOGIC_VECTOR(7DOWNTO0);--定义8位的音符信号

SIGNALDATA1:

STD_LOGIC_VECTOR(7DOWNTO0);

SIGN

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 法律文书 > 判决书

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1