EDA实验报告.docx

上传人:b****2 文档编号:2363088 上传时间:2022-10-29 格式:DOCX 页数:26 大小:1.14MB
下载 相关 举报
EDA实验报告.docx_第1页
第1页 / 共26页
EDA实验报告.docx_第2页
第2页 / 共26页
EDA实验报告.docx_第3页
第3页 / 共26页
EDA实验报告.docx_第4页
第4页 / 共26页
EDA实验报告.docx_第5页
第5页 / 共26页
点击查看更多>>
下载资源
资源描述

EDA实验报告.docx

《EDA实验报告.docx》由会员分享,可在线阅读,更多相关《EDA实验报告.docx(26页珍藏版)》请在冰豆网上搜索。

EDA实验报告.docx

EDA实验报告

 

EDA技术基础实验报告

 

实验一MAX–plusII及开发系统使用1

实验二高速四位乘法器设计6

实验三含异步清0和同步时钟使能的十进制加减法法计数器10

实验四秒表的设计13

实验五序列检测器的设计18

实验六数字频率计的设计24

 

实验一MAX–plusII及开发系统使用

一、实验目的

1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路

2、掌握层次化设计的方法

3、熟悉DXT-BⅢ型EDA试验开发系统的使用

二、主要实验设备

PC机一台(中档以上配置),DXT-B3EDA实验系统一台。

三、实验原理

数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。

它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。

因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:

1、实验器材集中化,所有实验基本上在一套实验设备上进行。

传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。

而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;

2、实验耗材极小(基本上没有耗材);

3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;

4、下载后,实验结果清晰;

5、实验仪器损耗少,维护简单;

下面,我们就本套实验设备做一个简单的介绍。

(一)Max+plusⅡ10.0的使用。

1、Max+PlusII软件的安装步骤:

第一步:

系统要求

奔3CPU以上,128M内存以上,4G以上硬盘,98操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)

第二步:

安装

点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。

第三步:

将安装文件夹中的License文件夹打开,里面有一个License.bat注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。

第四步:

注册

启动Max+PlusII软件,可以从开始-->程序-->Altera-->Max+PlusII打开,也可以建立一个快捷方式在桌面上。

启动软件后,会有弹出一个对话框,点击是或否都可以,然后进入系统。

点击菜单中的Options,然后选中License菜单项,打开弹出一个注册对话框,在注册文件路径中打开你第三步中复制位置的License文件,然后点击OK,注册完毕。

2、max+plusⅡ软件基本设计流程

注意:

实验时必须严格按照上述流程进行,如实验中遇到问题,举手向老师提出,严禁随意乱做!

 

实验二4位全加器的设计

1位全加器可以由两个半加器和一个或门构成,如图1.2所示。

图1.2全加器电路原理图

1位半加器可以由与、或、非等基本门构成,如图1.3所示。

图1.3半加器电路原理图

根据实验原理中,采用层次法设计一个4位全加器。

四、实验步骤

1、如图1.3所示,利用MAX-plusⅡ中的图形编辑器设计一半加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。

一.半加器图形编辑。

二.半加器仿真。

三.半加器设置元件。

注意:

编译之前必须将文件设为当前文件。

2、建立一个更高得原理图设计层次,如图1.2所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。

一.全加器原理图

二.全加器仿真。

三.全加器元件。

思考题:

为了提高加法器的速度,如何改进以上设计的进位方式?

答:

用并行进位,高位和低位的进位不再存在依赖关系,可以同时计算,这样就可以提高运算速度。

附录:

实验箱部分说明

1、按键K1~K16及指示灯

主板左下方有16个按键K1~K16,分两排排放,上面配有16个二极管,此二极管即可作按键输入指示,也可做输出用。

按键、发光二极管与下载板上CPLD/FPGA的一个I/O口通过控制芯片8间接对应相连,上、下按键各为一组,既可作电平输入也可作脉冲输入(均已经过消抖),通过主板右上角跳线来改变。

下载板上只标出了对应开关的信号名Ki。

当与I/O口相对应的开关Ki作为电平/脉冲输入使用时,将跳线帽电平/脉冲A(电平/脉冲B)插上/拔下即可(其中上排A、下排B按键各为一组)。

表示按键向该I/O口输入一个逻辑量脉冲信号或高/低电平。

当把K1~K16对应的I/O口定义为输出使用时,应把电平/脉冲A(电平/脉冲B)处跳线帽拔下,指示/按键处跳线帽插上,这时按键上方对应的发光二极管可作为输出使用。

2、发光二极管L1~L12

在主板的上方有12个发光二极管L1~L12(其中L1和L12为三色),它们分别与下载板上的标识符的I/O口相连。

注:

L1R输出表示红灯;L1G输出表示绿灯;L1R、L1G同时输出表示黄灯。

其中L12与L1输出情况相同。

红、黄、绿灯可以用于做交通灯实验。

3、静态显示数码管M1~M4

主板的上侧配有8位数码管M1-M8,当跳线帽静态/动态插上,表示静态显示(四位);拔下为动态输出显示(八位)。

每只数码管通过控制芯片的I/O口与下载板四个I/O口相连。

下载板四个I/O口输出BCD码,通过译码驱动数码管。

下载板与主板连接信号名M1D为PLD输出BCD码高位,M1A为BCD码低位。

其他信号类推。

 

实验三含异步清0和同步时钟使能的十进制

计数器

一、实验目的:

学习时序电路的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。

图1含计数使能、异步复位和计数值并行预置功能4位加法计数器

2、实验原理:

实验图1是一含计数使能、异步复位和计数值并行预置功能4位加法计数器,例1是其VHDL描述。

由实验图1所示,图中间是4位锁存器;rst是异步清信号,高电平有效;clk是锁存信号;D[3..0]是4位数据输入端。

当ENA为'1'时,多路选择器将加1器的输出值加载于锁存器的数据端;当ENA为'0'时将"0000"加载于锁存器。

3、实验内容1:

在MAX+plusII上参照例1进行设计、编辑、编译、综合、适配、仿真。

说明例中各语句的作用,详细描述示例的功能特点,给出其所有信号的时序仿真波形。

【例1】

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYCNT4BIS

PORT(CLK:

INSTD_LOGIC;

RST:

INSTD_LOGIC;

ENA:

INSTD_LOGIC;

OUTY:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);

COUT:

OUTSTD_LOGIC);

ENDCNT4B;

ARCHITECTUREbehavOFCNT4BIS

SIGNALCQI:

STD_LOGIC_VECTOR(3DOWNTO0);

BEGIN

P_REG:

PROCESS(CLK,RST,ENA)

BEGIN

IFRST='1'THENCQI<="0000";

ELSIFCLK'EVENTANDCLK='1'THEN

IFENA='1'THENCQI<=CQI+1;

ENDIF;

ENDIF;

OUTY<=CQI;

ENDPROCESSP_REG;--进位输出

COUT<=CQI(0)ANDCQI

(1)ANDCQI

(2)ANDCQI(3);

ENDbehav;

4、实验内容。

一.十进制计数器代码。

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitycnt4bis

port(rst,clk,en:

instd_logic;

q:

bufferstd_logic_vector(3downto0);

c10:

outstd_logic);

endCOUNT10;

architectureBEHAVofcnt4bis

begin

process(clk,rst)

begin

ifrst='1'thenq<="0000";

elsifclk'eventandclk='1'then

ifen='1'then

if(q<9)thenq<=q+1;

elseq<="0000";

endif;

endif;

endif;

endprocess;

process(q)

begin

ifq="1001"thenc10<='1';

elsec10<='0';

endif;

endprocess;

endBEHAV;

二.十进制仿真。

三.十进制元件。

6、思考题1:

在例1中是否可以不定义信号CQI,而直接用输出端口信号完成加法运算,即:

OUTY<=OUTY+1?

7、思考题2:

修改例1,用进程语句和IF语句实现进位信号的检出。

8、将例1中的语句“COUT<=CQI(0)ANDCQI

(1)ANDCQI

(2)ANDCQI(3)”逻辑用进程和IF语句来表达。

9、实验报告:

实验项目原理、设计过程、编译仿真波形和分析结果,附加内容实验情况,以及它们的硬件测试实验结果写进实验报告。

 

实验四秒表的设计

一、实验目的:

1、熟练利用VHDL语言进行数字系统设计;

2、掌握数字系统的设计方法——自顶向下的设计思想;

3、掌握计数器的设计与使用;

4、根据秒表的功能要求设计一个秒表;

二、实验设备:

PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干

三、实验要求:

1、有秒、分计数,数码扫描显示输出;

2、有清零端和计数使能端;

3、在功能允许的情况下,可自由发挥;

四、实验原理:

1、功能描述:

秒表是一种计时的工具,有着很广泛的用途。

本实验中的秒表要求有两个功能按钮:

一个是计数和停止计数按钮,当第一次按下此按钮时,秒表开始计数,再一次按下时,秒表停止计数,并显示所计的数字;另一个是清零按钮,当按下此按钮时,秒表清零。

在数码管上采用扫描显示输出。

2、基本原理:

本实验中用到的主要元件有计数器、控制逻辑、数据选择器和译码器等。

秒、分都是60进制计数,所以必须采用两个60进制的计数器(或6进制计数器与10进制计数器的组合);控制逻辑主要是用来实现计数和清零。

基本方框图如下:

注意:

计数器必须有进位输出、计数使能端和清零端。

3、自顶向下的设计方法:

自顶向下的设计方法是数字系统设计中最常用的设计方法,也是基于芯片的系统

设计的主要方法。

它的基本原理框图如下:

自顶向下的设计方法利用功能分割手段将设计由上到下进行层次化和模块化,即分层次、分模块进行设计和仿真。

功能分割时,将系统功能分解为功能块,功能块再分解为逻辑块,逻辑块再分解为更少的逻辑块和电路。

如此分割,逐步的将系统细化,将功能逐步具体化,模块化。

高层次设计进行功能和接口描述,说

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 职业教育 > 职高对口

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1