EDA电梯论文.docx

上传人:b****7 文档编号:23463605 上传时间:2023-05-17 格式:DOCX 页数:29 大小:538.68KB
下载 相关 举报
EDA电梯论文.docx_第1页
第1页 / 共29页
EDA电梯论文.docx_第2页
第2页 / 共29页
EDA电梯论文.docx_第3页
第3页 / 共29页
EDA电梯论文.docx_第4页
第4页 / 共29页
EDA电梯论文.docx_第5页
第5页 / 共29页
点击查看更多>>
下载资源
资源描述

EDA电梯论文.docx

《EDA电梯论文.docx》由会员分享,可在线阅读,更多相关《EDA电梯论文.docx(29页珍藏版)》请在冰豆网上搜索。

EDA电梯论文.docx

EDA电梯论文

基于FPGA的电梯控制器系统设计

 

摘要

本文首先提出了一种基于有限状态机的电梯控制器算法,然后根据该算法设计了一个电梯控制器,该电梯控制器的正确性经过仿真验证,本文的电梯控制器结合了实际电梯的运行情况,易于理解和接受。

另外,本文提出的电梯控制器算法适合于任意楼层,具有很强的适应性和实用性。

关键字:

有限状态机仿真电梯控制器

 

Abstract

Thispaperpresentsafinitestatemachinebasedontheelevatorcontrolleralgorithm,thenaccordingtothealgorithmofaliftcontroller,theaccuracyoftheelevatorcontrollerthroughsimulation,theelevatorcontrollercombinesthispracticaloperationoftheelevatoreasytounderstandandaccept.Inaddition,theelevatorcontrolleralgorithmisproposedforanyfloor,whichhasstrongadaptabilityandpracticality.

Keyword:

finitestatemachine;simulation;elevator;controller

 

目录

一、引言.....................................1

二、实验要求.................................2

三、总体方案选择.............................3

四、电梯控制器的模块设计…………….……………4

五、硬件电路设计…………………………………….8

六、各个模块的设计..........................12

七、仿真图……………………………………………15

八、心得体会………………………………………..19

附录源程序………………………………………….20

参考文献………………………………………………24

一、引言

在电梯控制系统方面,目前国外发达国家的电梯正在推广32位微机控制系统。

他们都采用闭环反馈单微处理机控制系统或多微处理机协调控制系统。

在电梯传动系统方面,采用交流变压变频(VVVF)调速技术,实现电梯从超低速到高速无级调速的高精度运行,具有节能、对电网污染小、乘坐舒适感佳等优点。

目前,我国国内的电梯产品,速度控制的运行方式和理想运行曲线基本上和国外的差不多。

考虑到经济性,现有国内的电梯控制系统,通常采用微机或可编程逻辑控制器对变频器进行多段速控制。

在电梯传动系统方面,对于新装客梯及旧梯改造项目,大多数采用了交流变压变频调速电梯。

在电梯反馈系统方面,采用闭环速度反馈,利用套在电机轴上的增量编码器产生脉冲信号反馈给控制系统。

在井道信号采集方面,目前国内电梯一般都采用增量编码器记数方式配合双稳态磁开关或光电开关来识别轿厢位置。

随着我国城市率的增长,对电梯需求量也迅猛增长,与1992年相比,当时城市率为27.8%,新电梯量为1.6万台,2005年,城市率为43%,新电梯量已猛增长为12.5万台,而根据最新统计,2006年我国电梯产量已突破15万台,占世界年产量的三分之一。

而电梯和空调又是耗能最大的“电老虎”,据调查,全国三星级以上酒店,空调和电梯两项耗电量就占城市耗电量的三分之一,因此节能在电梯领域有着特别重要的意义。

 

二、实验要求

1)每层电梯入口处设有上下请求开关各1个,电梯内设有乘客到达层次的数字开关。

电梯当前所在的楼层位置用两位数码管显示,用两只发光二极管显示开门/关门状态,用发光二极管显示每层的上下请求状态。

2)显示电梯当前所处位置和电梯上行、下行及开门、关门状态。

3)电梯到达有停靠站请求的楼层后,电梯门就会自动打开门指示灯亮,开门6秒后,电梯门自动关闭(开门指示等灭)电梯继续运行。

4)能记忆电梯内外的所有请求信号,并按照电梯运行的规则次第响应,即电梯上升时只能响应高层的呼唤的请求,下降时只响应低层的呼唤请求,每个请求信号保留到执行后撤出。

5)当没有请求信号时,电梯停在一楼。

6)电梯有超载提示。

当电梯内部超载过电梯规定的人数或重量后,电梯会自动报警,提示电梯已超载。

性能指标

1、电梯入口处上下请求开关,电梯内有到达楼层请求开关,显示电梯运行模

上升或者下降和所处楼层,每层楼都显示此刻电梯位置。

2、遵守方向优先规则:

电梯处于上升模式时只响应比电梯所在位置高的上楼请

求,由上而下执行;下行模式时与上行模式相反。

3、能检测是否超重,并设有报警信号。

4、系统根据电梯内乘客的重量调节电机的输出功率,达到节约能源的目的。

5、电梯使用电源:

三相380V/50Hz交流电源。

6、电梯上升下降时间为4s,开门时间4s,关门时间3s;

 

三、总体方案选择

本次设计尝试用硬件描述语言(VHDL)来实现电梯控制,可进行多层次的逻辑设计,也可进行仿真验证、时序分析等以保证设计的正确。

在使用VHDL进行电梯控制器的设计,主要就是对电梯软件部分进行设计,使用VHDL中的逻辑关系建立电梯的升降模式,开门,关门达到动作,而外部的硬件设备基本上保持不变。

但是使用VHDL硬件描述语言设计电梯控制器可以为以后电梯实现智能控制奠定基础。

 

四、电梯控制器的模块设计

1.电梯方向优先控制方式控制系统方框图

2.外部数据高速采集模块设计

对外部信号采集、处理要求电梯控制器:

(1)外部请求信号的实时、准确采集。

(2)准确、实时的捕捉楼层到达信号。

(3)有效的防止楼层到达信号、外部请求信号的误判。

控制器采用FPGA作为系统控制的核心,系统时钟频率是32.0000MHz,完全可以满足实时采集数据的要求。

由于电路中毛刺现象的存在,信号的纯净度降低,单个的毛刺往往被误作为系统状态转换的触发信号,严重影响电梯的正常工作。

可以采用多次检测的方法解决这个问题,对一个信号进行多次采样以保证信号的可信度。

外部请求信号的输入形式为按键输入,到达楼层信号来自光敏传感器,关门中断信号及超载信号则产生于压力传感器。

3.信号存储模块

电梯控制器的请求输入信号有16个(电梯外有5个上升请求和5个下降请求的用户输入断口,电梯内有6个请求用户输入断口),由于系统对内、外请求没有设置优先级,各楼层的内、外请求信号被采集后可先进行运算,再存到存储器内。

电梯运行过程中,由于用户的请求信号的输入是离散的,而且系统对请求的响应也是离散的,因此请求信号的存储要求新的请求信号不能覆盖原来的请求信号,只有响应动作完成后才能清除存储器内对应的请求信号位。

4.基于FPGA的中央处理模块

中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼层等信号)进行比较、判断以驱动系统状态的流转。

电梯工作过程中共有9种状态:

等待、上升、下降、开门、关门、停止、休眠、超载报警以及故障报警状态。

一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。

超载状态时电梯关门动作取消,同时发出警报,直到警报被清除;故障时电梯不执行关门动作,同时发出警报,直到警报被清除(看门狗信号有效的条件是一层楼连续发生关门中断情况超过3次)。

本系统由请求信号启动,运行中每检测到一个到达楼层信号,就将信号存储器的请求信号和楼层状态信号进行比较,再参考原方向信号来决定是否停止,转向等动作。

5.信号的输出、显示模块

系统的输出信号有两种:

一种是电机的升降控制信号(两位)和开门/关门控制信号;另一种是面向用户的提示信号(含楼层显示、方向显示、已接受请求显示等)。

电机的控制信号一般需要两位,本系统中电机有3种工作状态:

正转、反转和停转状态。

两位控制信号作为一个三路开关的选通信号,此三路开关选用模拟电子开关。

系统的显示输出包括数码管楼层显示、数码管请求信号显示和表征运动方向的箭头形指示灯的开关信号。

完全可以满足人们的需要,而且效率比较高

本系统具有请求信号显示功能,结合方向显示,可以减少用户对同一请求的输入次数,这样就延长了电梯按键的使用寿命。

假如电梯处于向上运动状态,初始位置是底层,初始请求是6楼,2楼时进入一人,如果他的目的地也是6楼,他看到初始请求是6楼,就可以不再按键。

同时,电梯外部的人也可根据请求信号显示(上升请求、下降请求、无请求),就可以避免没必要的重复请求信号输入。

电梯使用时,系统结合相应的电梯使用规范。

6.内部请求优先控制方式

当电梯处于上升模式时,电梯先响应电梯内乘客向上的请求,响应完所有的上升的请求之后再将电梯的模式改为下降模式,接着响应乘客下降的请求。

当电梯处于下降模式时,情况正好相反。

 

五、硬件电路设计

1.选择所需的FPGA器件

选用fpga芯片用于开发项目时,需要考虑以下几个因素:

(l)器件的资源是否满足设计的需要

(2)芯片速度

(3)CPLD的封装

下表为Altera器件性能对照表

EPF6016A器件固定引脚

配置管脚:

MSEL[1:

0]用于选择配置模式,比如AS、PS等。

DATA0FPGA串行数据输入,连接到配置器件的串行数据输出管脚。

DCLKFPGA串行时钟输出,为配置器件提供串行时钟。

nCSO(I/O)FPGA片选信号输出,连接到配置器件的nCS管脚。

ASDO(I/O)FPGA串行数据输出,连接到配置器件的ASDI管脚。

nCEO下载链期间始能输出。

在一条下载链中,当第一个器件配置完成后,此信号将始能下一个器件开始进行配置。

下载链上最后一个器件的nCEO悬空。

nCE下载链器件始能输入,连接到上一个器件的nCEO,下载链的最后一个器件nCE接地。

nCNFIG用户模式配置起始信号。

nSTATUS配置状态信号。

CONF_DONE配置结束信号。

电源管脚:

VCCINT内核电压(供电电压)。

EPF6016A供电电压为3.3v

VCCIO端口电压。

一般为3.3V,还可以支持多种电压,5V、1.8V、1.5V

VREF参考电压

GND信号地

VCCPD用于寻则驱动

VCCSEL用于控制配置管脚和PLL相关的输入缓冲电压

其他管脚:

PROSEL上电复位选项

CLKUSR这个脚就只可以作为用户提供的初始化时钟输入脚。

INIT_DONEI/O脚或漏极开路的输出脚。

2.用户i/o管脚分配

3.显示楼层

要在每一个楼层安装一个显示电梯所处的楼层的显示屏,以告知人们当前电梯所处的位置。

方案一:

使用数码管显示。

数码管能显示0—9的数字,显示亮度大,颜色单一。

缺点是耗电大,显示内容有限。

方案二:

使用液晶显示屏,液晶显示屏显示的内容多,能显示数字、英文字母,有些液晶还能显示汉字、图像。

而且液晶显示耗电小,缺点是成本高、显示亮度不如数码管。

综合上述,本系统设计的是七层楼的电梯控制系统,数码管显示的信息足够了。

从亮度和成本考虑,本系统选择方案二。

4.按键去抖

方案一:

在FPGA程序内编写一个延时程序,当有按键按下之后调用延时程序,延时完毕之后再去检测按键是否按下,如果按键是按下的就确认有效的按键请求;如果延时之后检测按键没有按下则属于干扰信号的影响,控制器视为无效的按键请求。

方案二:

使用滤波的方法去抖,使用RC滤波器和具有施密特功能的非门滤去按键按下时的抖动脉冲,电阻R和电容C组成低通滤波器,由于抖动的脉冲频率比较高,所以被RC滤波器滤掉,再经过具有施密特功能的非门整形为标准的方波输到控制器。

综合上述:

方案一不需要增加外围电路,利用软件的方法来滤去抖动脉冲,这使程序负担加重,影响电梯的实时性。

方案二使用硬件滤波可靠性高,它提供给控制器的是一个标准的方波,有利于处理器对按键状态的判断,而且增加的硬件成本也不高。

所以本系统选择方案二。

 

六、各个模块的设计

1.电源模块设计

电源是整个系统的能量来源,电源的好坏影响整个系统的稳定性和可靠性,

所以电源的设置至关重要。

本系统使用的电源电压有:

1.5V,3.3V,5V,

12V。

其中1.5V是FPGA的核心电压;3.3V是FPGA的IO端口电压;5V是给数码管、

逻辑门使用;

12V是供给运放使用。

2.方向优先控制逻辑电路设计

以上是方向优先控制电路,其中dir是电梯运动方向控制,UP是电梯向上运

动使能端,DOWN是电梯向下运动使能端。

该电路工作原理:

当电梯处于上升状态

时dir=1,这时AND_UP开通,AND_DOWN关闭,电梯只响应高于电梯楼层的向

上的请求和电梯内乘客向上的请求。

当电梯向下运动状态时dir=0,这时AND_UP

关闭,AND_DOWN开通,电梯只响应低于电梯楼层的向下的请求和电梯内乘客向

下的请求。

STAR是电梯运动/停止控制位,当STAR=1时开通AND_UP和

AND_DOWN,电梯可以向上或者向下运动;当STAR=0时关闭AND_UP和

AND_DOWN,电梯不能运动;

3.超声波发射驱动电路

上图是超声波发射的驱动电路,三极管Q1、Q2组成了两级放大电路,使用交流耦合方式,适合驱动脉冲通过。

由于超声波发生器是电压驱动型器件,所以使用变压器T1来把驱动电压升压到12V,T1的初级线圈和次级线圈的匝数比是5:

24,将5V电压升到24V,使得超声波发生器发出更强的超声波,使测量距离增加。

由于使用脉冲驱动,而且T1属于感性器件,在关短时会产生很高的自感电动势。

所以在T1两端加一个二极管D1保护Q2三极管。

4.超声波接收电路图

上图是4个运放构成的超声波接收电路,接收超声波传感器采用MA40A5R。

电路由A1和A2构成的2级放大电路、A3构成的整流电路、R7和R8及C5构成的滤波电路、A4构成的比较器等组成。

采用±5V供电电源,电路功耗非常低。

放大电路具有选频特性,仅放大40kHz频率信号。

峰值频率fo=40.8kHz,放大器的增益为5倍。

当输入信号非常微弱时,可采用3~4级放大器。

但放大器的增益过大时,无信号时容易拾取噪声,因此,要根据实际的输入信号电平选用放大器的级数。

采用A3运放构成半波整流电路,也可以采用二极管进行整流。

整流输出通过低通滤波器取出40kHz信号。

比较器A4具有时滞特性,应该根据MA40A5R接收的信号电平调整其阈值电压。

5.称重传感器

电梯一般都有一个传感器用于判断电梯厢是否超重,如果超重就发出报,并且

电梯停止运行。

本电梯控制系统也需要称重传感器来判断电梯厢是否超重,另外本系统要求确切的测出电梯厢的重量,控制系统根据电梯厢的重量调节电机的输出功率,电梯厢重量大时,控制电机输出功率大,电梯厢轻时控制电机的输出功率小,从而实现节能的目的。

6.电梯故障报警

当电梯出现故障时,电梯厢可能停止在楼层之间,如果电梯厢内有乘客,乘客会被困住,长时间不被发现就会有生命危险。

本系统设计了智能型的电梯故障报警系统。

电梯出现故障时,系统先通过电梯厢内的人体红外热式传感器检测电梯厢内是否有乘客,如果有乘客就发出一级警报促使管理人员赶快解救电梯厢内的乘客;如果电梯厢内没有乘客,就发出二级警报告知管理人员排除故障。

报警系统由声光报警,声音由蜂鸣器发出,光报警由每层楼显示楼层的数码管发出。

当出现故障时,蜂鸣器发出“嘀……”的声音,另外每层的数码管显示此时电梯所在的楼层,并且数码管每秒闪烁一次,以提示电梯出现故障。

7.电机驱动

如上图所示,其中的DC是三相交流电经过整流稳压而得,FPGA_IO与FPGA的IO口连接,FPGA通过IO口发出SPWM脉冲驱动6个IGBT,IGBT输出的电压波形也是SPWM波,SPWM波经过电机的电感后波形变成近似正弦波的电压,所以SPWM波驱动电机的效果与三相交流电驱动电机的效果是一样的。

使用SPWM波驱动电机的优点是:

SPWM波的频率是可以根据需要改变的。

通过改变驱动电机的SPWM波的频率可以改变电机的速度,改变电机的输出功率。

系统就是根据负载的大小调节电机的输出功率,从而实现节能的。

 

8.显示楼层

数码管显示有动态显示和静态显示,动态显示需要实时刷新显示,耗费的软件资源多。

静态显示需要外加电路来保存数码管显示的数据,只有在更新显示数据的时候才刷新显示,占用的软件资源少。

本系统选择静态显示,使用74HC595(移位寄存器)作为数码管的驱动,74HC595是将串行输入变成并行输出,并且具有存储功能,所以不用实时刷新显示,也节约了FPGA的IO端口资源。

9.按键去抖

按键按下时会产生抖动,按键电路在按键按下时输出的并不是标准的方波,而是出现高低电平变化抖动的干扰脉冲。

这种干扰脉冲可以引起逻辑电路的误动作(逻辑器件认为按键按下了很多次),这严重影响了系统的稳定性和可靠性。

本系统使用的按键电路如上图,输出低电平有效。

按键没有按下时输出OUT=1,按键按下时OUT=0。

该电路通过R2和C2组成的低通滤波器滤除按键按下时产生的干扰脉冲,低通滤波器的截止频率,由于按键抖动的脉冲频率远远大于159Hz,所以抖动脉冲被滤除,OUT输出标准的方波。

9.电梯停电保护

电梯在运行过程中突然停电,整个系统停止工作。

如果没有保护系统,电梯厢

会因为失去牵引力而全速向下坠落(电梯厢的质量大于配重)或者向上全速运动(电

梯厢的质量小于配重),不管是那种情况都会给电梯厢内的乘客造成伤害甚至死亡。

所以电梯的停电保护至关重要。

上图是电梯保护系统,当有电时电磁铁通电产生磁性吸住制动杆,轴可以自由旋转,电梯正常工作。

当突然停电时,电磁铁失去励磁电流而失去磁性,弹簧将制动杆顶起来卡住轴使电梯厢不能运动,从而实现了电梯的停电保护。

 

七、仿真图

1.程序调试通过编译

2.仿真结果

3.电梯控制程序仿真全图

1.电梯停在一楼时,接到请求信号c_d3,c_d2,c_u4和d6,并把请求信号写入相应的寄存器。

Led显示电梯所在楼层;led_d,led_c_u,led_c_d显示用户的请求

2.电梯经过准备上升状态后,进入上升状态,到达2楼,3楼时,不停继续前进。

3电梯上升到四楼时,响应请求(c_u4),开门载客;进入预备上升状态。

 

4.电梯控制程序仿真局部放大图

1.电梯上升到六楼时,响应请求(d_6),开门卸客,进入预备下降状态

2.电梯下降经过五楼四楼都不停,到达三楼开门卸客,电梯进入预备下降状态。

 

5.电梯控制程序仿真局部放大图

1电梯到达三楼时,响应请求(c_d3),开门卸客,进入预备下降状态。

2电梯在预备下降状态下,电梯应超载(full=‘1’),发出超载警报alarm;超载信号消失,电梯重新进入预备下降状态。

3电梯接收到提前关门信号quick,电梯跳过关门等待时间,仿真图q1从1跳到3;进入关门状态。

4电梯接收到dengc_d3和d3电梯进入预备下降状态,并且c_d3和d3信号都可以对q2进行清零处理。

6当连续关门次数超过三次,不认为是乘客需要,故障报警。

 

八、心得体会

VHDL语言既具有高级编程语言的优点,又有并行执行的特性,使设计者脱离了底层电路,而在更高的层次上考虑电路的各种时序和逻辑关系。

这样设计者可以采用自顶向下的设计方法和并行工作的设计原则。

用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大的减少了电路设计时间和可能发生的错误,降低了开发成本。

这种设计方法必在将来的数字系统设计中发挥越来越重要的作用。

(1)在进行设计时,最主要的是先设计理清时序。

在单个实现各个模块功能时比较简单。

但将各个功能模块综合在一起之后就需要理清它们的时序,才能够共用数据总线,使其互不干扰地工作。

(2)设计完成后要在模版上仿真,来验证所编程序的正确性和可行性。

在仿真的时候会出现比较多的问题,如果能够耐心的解决将会获益良多。

(3)有的程序可能在仿真时时序是完全正确的,而将程序下载到板子上之后却发现不对。

这主要是由于各个功能实现时会有时延,这在仿真时是反映不出来的。

因此编程时要注意在选中某个片子之前,要先将计算出的数据信号先放到数据总线上。

(4)要仔细研究仿真时所获得的波形图,确定所做的设计稳定又实用。

在此次设计中,我掌握了一些使用VHDL语言编程的基本方法。

在设计的过程中我深深的体会到,VHDL语言实在是一个很好用的硬件描述语言。

它具有强大的生命力和应用潜力。

它必将成为数字系统设计中的一种重要工具。

 

附录

源程序

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

useieee.std_logic_arith.all;

entitydiantiis

port(clk:

instd_logic;

full,deng,quick,clr:

instd_logic;

c_u1,c_u2,c_u3,c_u4,c_u5:

instd_logic;

c_d2,c_d3,c_d4,c_d5,c_d6:

instd_logic;

d1,d2,d3,d4,d5,d6:

instd_logic;

g1,g2,g3,g4,g5,g6:

instd_logic;

door:

outstd_logic_vector(1downto0);

led:

outstd_logic_vector(6downto0);

led_c_u:

outstd_logic_vector(5downto0);

led_c_d:

outstd_logic_vector(5downto0);

led_d:

outstd_logic_vector(5downto0);

wahaha:

outstd_logic;

ud,alarm:

outstd_logic;

up,down:

outstd_logic);

enddianti;

architecturebehavofdiantiis

signald11,d22,d33,d44,d55,d66:

std_logic;

signalc_u11,c_u22,c_u33,c_u44,c_u55:

std_logic;

signalc_d22,c_d33,c_d44,c_d55,c_d66:

std_logic;

signalq:

integerrange0to1;

signalq1:

integerrange0to6;

signalq2:

integerrange0to9;

signaldd,cc_u,cc_d,dd_cc:

std_logic_vector(5downto0);

signalopendoor:

std_logic;

signalupdown:

std_logic;

signalen_up,en_dw:

std_logic;

begin

com:

process(clk)

begin

ifclk'eventandclk='1'then

ifclr='1'thenq1<=0;q2<=0

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工程科技 > 城乡园林规划

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1