数字电子技术交通灯课程设计交通灯控制器的设计.docx

上传人:b****9 文档编号:23411696 上传时间:2023-05-16 格式:DOCX 页数:16 大小:711.29KB
下载 相关 举报
数字电子技术交通灯课程设计交通灯控制器的设计.docx_第1页
第1页 / 共16页
数字电子技术交通灯课程设计交通灯控制器的设计.docx_第2页
第2页 / 共16页
数字电子技术交通灯课程设计交通灯控制器的设计.docx_第3页
第3页 / 共16页
数字电子技术交通灯课程设计交通灯控制器的设计.docx_第4页
第4页 / 共16页
数字电子技术交通灯课程设计交通灯控制器的设计.docx_第5页
第5页 / 共16页
点击查看更多>>
下载资源
资源描述

数字电子技术交通灯课程设计交通灯控制器的设计.docx

《数字电子技术交通灯课程设计交通灯控制器的设计.docx》由会员分享,可在线阅读,更多相关《数字电子技术交通灯课程设计交通灯控制器的设计.docx(16页珍藏版)》请在冰豆网上搜索。

数字电子技术交通灯课程设计交通灯控制器的设计.docx

数字电子技术交通灯课程设计交通灯控制器的设计

宿迁学院三系

《数字逻辑电路》课程设计

 

题目:

交通灯控制器的设计

专业:

计算机科学与技术

班级:

学号:

姓名:

指导教师:

完成日期:

2012.12.29

 

目录:

●1.设计任务及要求

●2.总体控制方案

●3.控制电路设计

3.1控制电路工作原理

3.2控制电路设计原理

●4.倒计时电路设计

4.1具有同步置数功能的十进制减法计数器

4.2主干道与支干道倒计时电路设计

●5.倒计时电路设计

5.1动态显示工作原理

5.2动态显示及译码电路设计

●6.总体电路设计

6.1总体电路

6.2电路工作说明

●7.电路仿真调试

7.1控制电路仿真调试

7.2倒计时电路仿真调试

7.3译码显示电路仿真调试

7.4总体电路仿真调试,下载验证

8.实验结果分析.改进意见与学习心得体会

●9.参考文献

摘要:

交通灯控制电路的设计,设计了一个南北方向和东西方向十字路口的交通灯控制电路,本交通灯控制电路采用74LS193可预置四位二进制双时钟可逆计数器实现计数功能,并通过一系列集成逻辑门电路芯片控制红绿灯的交替显示。

具体方案如下:

1设计任务及要求

设计一个用于十字路口的交通灯控制器。

能显示十字路口东西、南北两个方向的红、黄、绿的指示状态。

具有倒计时功能。

用两组数码管作为东西和南北方向的倒计时显示,主干道每次放行(绿灯)60秒,支干道每次放行(绿灯)45秒,在每次由绿灯变成红灯的转换过程中,要亮黄灯5秒作为过渡,黄灯每秒闪亮一次。

2总体控制方案

设主干道绿灯、黄灯、红灯分别为G1、Y1、R1;支干道绿灯、黄灯、红灯分别为G2、Y2、R2,并且均用0表示灭,1表示亮,则交通灯有如下四种输出状态:

状态

G1Y1R1

G2Y2R2

S0

00

100

001

S1

01

010

001

S2

10

001

100

S3

11

001

010

 

通过以上观察可发现:

当主干道或者支干道的倒计时计数值为01时,控制器将从当前状态转入下一个状态。

因此,计数值01可作为控制器状态转换的条件,同时也可产生同步置数信号,将下一状态的计数初值置入计数器。

3控制电路设计

3.1控制电路工作原理:

4状态循环实现:

主干道和支干道信号灯的实现:

采用4位二进制计数器74161实现控制器的四个状态循环。

当倒计时计数值为01时T1=1,作为7161的计数使能信号。

3.2控制电路设计原理:

状态

QBQA

G1Y1R1

G2Y2R2

S0

00

100

001

S1

01

010

001

S2

10

001

100

S3

11

001

010

4倒计时电路设计

4.1:

具有同步置数功能的十进制减法计数器:

由具有同步置数功能的十进制减法计数器实现。

LDN=1时:

通过卡诺图分别求解驱动方程D3D2D1D0

LDN=0时:

D3D2D1D0=DCBA

现态

次态

CP

LDN

Q3Q2Q1Q0

Q3Q2Q1Q0

(D3D2D1D0)

 

 ↑

 

1

1001

1000

1000

0111

0111

0110

0110

0101

0101

0100

0100

0011

0011

0010

0010

0001

0001

0000

0000

1001

0

XXXX

DCBA

 

合成芯片为10:

 

2.再将两片及联实现2为二进制减法计数器:

合成为dec芯片:

4.2主干道与支干道倒计时电路设计

当主干道或支干道减法计数器为01时,产生同步置数信号,将下一状态计数初值置入。

主干道预置数

支干道预置数

状态

D7D6D5D4

D3D2D1D0

D7D6D5D4

D3D2D1D0

S0

00

0000

0101

0000

0101

S1

01

0101

0000

0100

0101

S2

10

0000

0101

0000

0101

S3

11

1110

0000

0110

0101

5.倒计时电路设计

5.1动态显示工作原理:

EDA实验板上一共有8个数码管,如果按照传统的数码管驱动方式,则需要8个七段译码器和64个I/O口进行驱动,这样就会浪费大量的资源。

所以最常见的数码管驱动电路为动态扫描显示。

数码扫描显示原理:

利用人眼的视觉暂留效应,把多个数码管按一定顺序进行点亮(显示)。

当点亮的频率(即扫描频率)不大时,人眼看到的是数码管一个个的依次点亮,然而扫描频率足够大时,看到的不再是一个一个的点亮,而是全部同时点亮。

共阴极数码管:

将每个数码管的公共端(阴极)分别接三-八译码器的输出,三-八译码器的输入为位选信号;将多个数码管的相同段接在一起,作为段码输入端。

5.2动态显示及译码电路设计:

七段译码:

--bcd-7seg

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_ARITH.ALL;

ENTITYbcd_7segIS

 

PORT(

in_data:

INSTD_LOGIC_VECTOR(3DOWNTO0);

out_data:

OUTSTD_LOGIC_VECTOR(7DOWNTO0)

);

ENDbcd_7seg;

ARCHITECTURErtlofbcd_7segIS

BEGIN

PROCESS(in_data)

BEGIN

CASEin_dataIS

WHEN"0000"=>out_data<="00111111";

WHEN"0001"=>out_data<="00000110";

WHEN"0010"=>out_data<="01011011";

WHEN"0011"=>out_data<="01001111";

WHEN"0100"=>out_data<="01100110";

WHEN"0101"=>out_data<="01101101";

WHEN"0110"=>out_data<="01111100";

WHEN"0111"=>out_data<="00000111";

WHEN"1000"=>out_data<="01111111";

WHEN"1001"=>out_data<="01100111";

WHENOTHERS=>out_data<="00000000";

ENDCASE;

ENDPROCESS;

ENDrtl;

合称为:

 

 

合称disp芯片为:

 

6.总体电路设计:

6.1总体电路:

6.2电路工作说明:

此电路主要是由控制器、倒计时器、译码器和脉冲信号发生器等组成,给总电路加入引脚下载验证。

脉冲发生器是该系统中倒计时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号。

交通灯4种工作状态的转换是由控制器器进行控制的。

设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示。

译码器的主要任务是将控制器的输出q1、q0的4种工作状态,翻译成主干道与支干道上6个信号灯的工作状态。

由于最后在cp和cpscan均设为不相同的时钟信号,所以在显示的波形图中主干道G1,Y1,R1和次干道G2,Y2,R2信号波不相同,主干道每次放行(绿灯)60秒,支干道每次放行(绿灯)45秒,在每次由绿灯变成红灯的转换过程中,要亮黄灯5秒作为过渡,黄灯每秒闪亮一次,然后进入循环状态,实现交通控制功能。

7.电路仿真调试:

 

7.1控制电路仿真调试和其余部分电路仿真调试:

具有同步置数功能的十进制减法计数器

级联实现2位十进制减法计数器

 

7.2倒计时电路仿真调试

 

7.3译码显示电路仿真调试

总的波形图:

 

 

7.4总体电路仿真调试

结果:

主干道交通灯转换数据

绿→黄黄→红红→绿

正常正常正常

支干道交通灯转换数据

红→绿绿→黄黄→红

正常正常正常通过将设计电路写入可编程器件DVCC验证得所设计的交通信号灯设计能够正确显示,各方向红绿灯符合均不冲突,符合实验最终目的要求。

8.改进意见与学习心得体会

本次数字电子技术课程设计使用QuartusII8.1软件,刚开始比较棘手,逐渐熟悉就好多了,当然离不开多问多练。

改进意见:

倒计时电路可以改由555多谐振荡器实现。

心得体会:

在最终电路的调试仿真图中,如果cp和cpscan时钟信号都置为ns级单位,最后是仿真不出来的,不明显,图中单位均为us级别的。

图中由于作图时误差避免不了,仪器本身缘故和线路图的缺陷在最后形成的波形图中,rst信号前一部分置为0后置为1,红绿灯才有信号显示,并且前一部分输出seg[7..0]与上面显示的8位二进制不相符,后一部分才基本吻合,从上图可以看出,seg信号为00111111时,主干道黄灯开始显示,绿灯灭,红灯也不亮,支干道红灯亮,符合设计要求。

通过测试与学习,也学会将信号中出现的ASCALL值转换成Biary即八位二进制表示。

为期一周的电子线路综合设计,我们的收获和体会良多。

通过这次课程设计,加强了我们思考和解决问题的能力以及动手实践的能力。

实验室做实验时老师现场指导,遇到不懂的问题,老师耐心的为我们讲解,许多问题都是经过多问老师才得以顺利解决的,其次向周围的同学虚心请教,还需要翻阅相关书籍、上网查找资料。

在这个过程中,我们加深了对课本内容的理解,也扩展了自己的知识面,学到了很多平时没有接触到的东西。

这两周的实习过程曲折可谓一语难尽。

虽然电路的原理图在软件上仿真成功了,但在现实中运行却不那么顺利,因为软件仿真时的环境是理想化的,而现实中存在着很多不确定因素的干扰。

电路的调试过程是非常艰巨的,线路较复杂,仿佛每个地方都有可能出现异常状况。

越是这样,我们越要细心,分主要模块进行测试,最后一定能找出原因,使电路正常运作。

在进行每一个步骤时我们都在不断地交流各自的意见,更正错误。

这次实习锻炼了我们团队合作的能力。

“三人行,必有我师焉”,各位同学之间取长补短,共同合作,把课程设计的任务做好。

团队需要个人,个人也离不开团队,团队需要个人,个人也离不开团队,必须发扬团结协作的精神,这一点是非常宝贵的,在这里特向老师和帮助过我的同学表示感谢!

9.参考文献

《数字电路逻辑设计》(脉冲与数字电路第三版)王疏银主编高等教育出版社《数字电路实验指导书》张亚婷王利杨乐周丽娟郭华编

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 党团工作 > 其它

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1