数字PID控制器的设计毕业设计.docx

上传人:b****7 文档编号:23402109 上传时间:2023-05-16 格式:DOCX 页数:41 大小:558.77KB
下载 相关 举报
数字PID控制器的设计毕业设计.docx_第1页
第1页 / 共41页
数字PID控制器的设计毕业设计.docx_第2页
第2页 / 共41页
数字PID控制器的设计毕业设计.docx_第3页
第3页 / 共41页
数字PID控制器的设计毕业设计.docx_第4页
第4页 / 共41页
数字PID控制器的设计毕业设计.docx_第5页
第5页 / 共41页
点击查看更多>>
下载资源
资源描述

数字PID控制器的设计毕业设计.docx

《数字PID控制器的设计毕业设计.docx》由会员分享,可在线阅读,更多相关《数字PID控制器的设计毕业设计.docx(41页珍藏版)》请在冰豆网上搜索。

数字PID控制器的设计毕业设计.docx

数字PID控制器的设计毕业设计

毕业设计

(2013届)

 

题目数字PID控制器的设计

学院物理电气信息学院专业电子信息工程

年级2009级

学生学号

学生姓名

指导教师

2013年5月3日

摘要

 

直流电机具有良好的启动和调速性能,被广泛地应用于对启动和调速有较高要求的拖动系统。

本设计介绍了基于FPGA用PWM实现直流电机调整的基本方法,直流电机调速的相关知识,及PWM调整的基本原理和实现方法。

重点介绍了基于FPGA用硬件产生PWM信号的途径,输出的PWM波形具有频率高、占空比调节步进细的优点。

然后结合PID算法对整个系统进行闭环控制设计,对比运用MATLAB软件对闭环控制系统参数选择并对整个系统稳定性作出判断,整合到硬件电路中对直流电机调速的实现提供了一种有效的途径。

关键词:

直流电机;FPGA;PID控制

 

ABSTRACT

 

ThispaperintroducesakindofmethodofDC—motorspeedmodificationbasedonPWMtheorybytheFPGA.ShowingsomerelativeknowledgeupontheDC—motortiming,thebasictheoryandthewaytoimplement.AnditemphasizesonthewayforcarryingoutPWMsignalsbasedonFPGA.ThesePWMsignals’advantagesarebasedonitshighfrequencyanddutycyclesteppingfineadjustment.ItoffersasortofefficientmethodsfortheDCmotorspeed—controllingsystem.ThenitcontributesPIDarithmeticintothedesignofclosed-loopcontrol.Itcomparesthepreferencesofclosed-loopcontrolinMATLABwiththehardwirecircuits,whichprovidesanefficientwayforDCmotorspeedcontrol.

 

KeyWords:

DC—motorFPGAPID_control

 

 

第一章绪论

 

1.1课题背景和意义

在现代工业中,各种生产机械根据其工艺特点,对拖动的电动机提出了各种不同的要求,有的要求能迅速启动、制动和反转;有的要求多台电动机之间的转速按一定的比例协调运动;有的要求电动机达到极慢的稳速运动;有的要求电动机起、制动平稳,并能准确的停在给定的位置。

可见各种拖动系统都是通过控制转速从而控制转矩来实现的

与交流电动机相比,直流电动机由于调速性能好、静差率小、稳定性好以及具有良好的动态性能、运行效率高等优点,因此在相当长的时期内,高性能的调速系统几乎都采用了直流调速系统

FPGA作为新型的大规模可编程数字集成电路器件,它充分利用计算机辅助设计技术进行器件的开发与应用。

用户借助于计算机不仅能自行设计专用集成电路芯片,还可在计算机上进行功能仿真和实时仿真,及时发现问题,调整电路,改进设计方案。

这样,设计者不必动手搭接电路、调试验证,只须在计算机上操作很短的时间,即可设计出与实际系统相差无几的理想电路。

而且,FPGA器件采用标准化结构,体积小、集成度高、功耗低、速度快,可无限次反复编程,因此,成为科研产品开发及其小型化的首选器件,其应用极为广泛

1.2国内外研究现状

PID控制是工业工程中应用最为广泛,最有效率的控制理论,从它的出现到现在已经经历了很长的时间,今天它依然在工业控制中占有不可替代的地位,相信在以后的很长一段时间PID控制还会有很强的生命力。

下面我将粗略列写一下国内外对于PID控制器的发展的主要进程:

(1)在国外,1973年美国和日本以学习控制和智能控制为题召开了专题研讨会,在20世纪70年代发表了大量有关学习控制和智能控制的文章。

1977年Sa-tadis发表了他著名的专著《随机系统的自组织控制》,总结了这些理论控制成果。

在20世纪80年代,Arimoto与其同事Kawamura对开环的P型,PI型,PID型以及D型,PD型的自学习算法的理论与应用做了大量研究工作,取得了相当的理论成果,并取得了在机器人应用的成功,其研究工作带动了当时国际学术界对迭代学习控制的深入研究。

最初,关于其他类型控制系统的研究结果远不如机器人迭代学习控制的研究结果多。

它的使用区别于常规控制方法。

经典控制理论对具有在一定时间内循环往复运动的机器人的应用难以收到令人满意的效果,尤其当机器人处于高速运动的状态,这主要是因为那些控制理论应用时在循环中对系统的响应总是需要一定的时间才能达到期望值,即只有当系统经历一段过渡时间后好的性能才能得到保证。

这样对机器人短暂的重复运动控制显然是不可取的。

由于迭代学习控制自身的一些特点,如对系统只需要较少的先验知识和较少的计算量,一般不需要辨识系统的参数,从而能处理位置参数和不确定性问题,具有一定的鲁棒性等,决定了它可作为一类机器人或机械装置基于自主训练来调整运动性能的一种较好的方法。

它的研究对诸如机器人等有着非线性、强耦合、难建模且对运动控制有着高速、高精度要求的对象有着重要的意义。

此后十几年间,自学习控制技术随着与其相关的学科及应用领域,如计算机技术、现代智能控制、机器人技术等的发展而发展。

第一次国际工程技术会议中,印度测试技术专家Dr.M.Meenakshi基于微处理器的数字PID控制器对于直流电机转速的控制中,得出闭环控制系统下电磁式传感器用于转速的测量,并且可控硅整流器对于脉宽变换作用影响输出转速的设计,都符合设计要求。

(2)在国内,许多文献提出系统初始条件不在期望轨迹上时,如何保证学习控制收敛的问题,但其收敛条件相对严格,任雪梅等首先采用初始状态学习方法解决了仿射非线性系统在D性学习律作用下的初态问题,但需要系统的输入矩阵B(t)来确定下次迭代时系统的初始状态。

常规的方法在FPGA上实现PID控制器,需要消耗大量的乘法器、加法器和存储器,没有充分利用FPGA的资源。

其中许忠仁在2012年的辽宁石油化工大学学报上发表并且第一次提出这样两种对比算法:

在FPGA上采用直接DA(DA-I)及改进的DA(DA-II)算法,实现数字PID控制器。

较常规方法要节省许多硬件资源,采用两级流水线技术,进一步减少FPGA中的查询表LUT单元,处理速度得到改善。

并且选用定点运算的方法进行计算,可以提高运算的精度。

另有2010年锦晓曦在《河南科学》期刊上提到用PID自整定方法优化整定增益值、积分时间值和微分时间值,还可以自动确定滞后值和过程变量峰值偏移。

这些参数用于减少当PID自整定设置持续振荡限幅时过程噪声所产生的影响。

相似的是,国内也有很多研究基于FPGA的模糊自整定PID控制器设计,结合MATLAB的间接设计方法,通过对控制器并行实现优化前后对比,保证了快速性的前提下,减少硬件资源。

但是由于工业现场各种动力设备不断的启动停止,现场环境恶劣,电磁干扰严重,即使具有经过精心设计的抗干扰设备的工控计算机,都有可能引文偶然的人为或非人为的干扰而出现控制出错或者程序跑飞现象。

如果单片机的输出对应执行机构,那么将对整个控制系统产生直接的影响。

有一篇研究正好针对这个问题将计算机程序实现的PID控制算法从计算机中分离出来,设计基于FPGA的通用PID数字电路芯片,而保留计算机对参数的整定功能。

1.3本课题研究内容

本文主要研究的问题是,分析直流电机的调速方式,利用当前先进的数字技术和现代控制理论,在消除干扰环境中精确控制电机的起、制动,调节转速,以提高可靠性、降低成本

最常用的直流调速技术是脉宽调制(PWM)直流调速技术,它具有调速精度高、响应速度快、调速范围宽和耗损低等特点。

系统采用PWM调节实现对电机的调速,采用红外发射接收对管采集电机转速。

FPGA系统内部进程工作在并行的状态下,各子进程相互独立,输出的PWM波形具有频率高,占空比调节步精密的特点。

高频率的PWM波形对直流电机工作的稳定起着极其重要的作用,尤其在高负载的调速系统中体现的优越性极其明显,频率越高电机运行越平稳。

另外,对PWM波形输出占空比的调节步进细分的特点大大的扩大了调速系统的调速范围。

本设计中主要研究FPGA产生PWM波形的优越性并对其进行验证,并且针对FPGA系统进行等精度频率测量的高精度性进行验证

1.4本章小结

本章主要介绍了本设计的课题背景和意义及对国内外现状的分析,在此基础上建立本设计所要研究的内容及主要模块认识。

现代工业的高速发展使原始、单一的控制技术已经很难适应现代控制的要求,将新型的控制理论,如学习控制,与传统的PID控制技术相结合在未来的控制领域内会有广阔的前景。

 

第二章直流电机

 

2.1直流电机控制系统

系统主要由PID控制器、光电测速器(编码器)、PWM脉冲宽度调制器、直流电机等组成。

其中控制器采用数字PID控制器;采样采用光电编码器;PWM脉冲宽度调制器对模拟信号电平进行数字编码;光电编码器采用透射型带光栅盘的光电断续器。

数学模型图如图2-1所示。

图2-1电机控制系统原理框图

2.2直流电机的数学模型建立

(1)直流电机电压与输出转速关系图:

图2-2电机电压输出与转速关系

直流电机电压与输出转速之间的传递函数为

(2-1)

本研究采用永磁直流齿轮减速电机,型号为ZhengGear-BoxMotorZGB37RG。

有关参数表如表2-1、2-2所示

表2-1

参数名称

符号

大小

单位

电枢电阻

R

8.33

电枢电感

L

6.17

mH

电动势常数

V/(rad

s)

转矩系数

0.03954

电枢惯量

负载惯量

0.0137

传动比

N

7860:

18

根据以上参数可得:

总惯量J=

+

=

;

;

由此可知

,所以可将传递函数近似为:

(2-2)

代入电机参数得电压输入与输出转速之间的传递函数关系为

(2-3)

表2-2

电压

马达型号

减速比

齿轮箱长度

空载转速

额定转速

额定力矩

额定电流

V

Mm

Rr

mm

Rpm

Rpm

Kg.cm

Amp

12V

25G

1/516

30.5

5

3.5

15

0.18

12V

50G

1/227

29.5

20

14

15

0.92

12V

50G

1/148

26.5

30

21

12

0.92

12V

50G

1/95

26.5

50

35

7.2

0.92

12V

50G

1/47.5

24.5

100

70

3.6

0.92

24V

35G

1/516

30.5

6

4.2

15

0.33

24V

50G

1/227

29.5

20

14

13

0.33

24V

50G

1/148

26.5

30

21

8.6

0.33

24V

50G

1/95

26.5

50

35

4.8

0.33

24V

50G

1/47.5

24.4

100

70

2.6

0.33

(2)电机最大转速

以低电压、低转速以及大转矩的实际直流电机为仿真背景,选额定电压24V,减速比1/47.5,空载转速100r/min,额定转速70r/min,给定转速60r/min。

2.3本章小结

本章主要介绍由电机的分类到直流电机初步数学模型建立,MATLAB函数分析判断的电机电压与转速关系来源。

最终确定了所选直流电机的种类,对其参数进行罗列,选出自己后面设计所用其中一个标准参数,并计算直流电机最大频率,有助于确定频率计及计数器的位数。

最后结合生活中的应用,总结了直流电机大致在生活中担任的角色及实用性作用。

 

第三章PID算法

 

3.1PID算法

PID是Proportional(比例)、Integral(积分)、Differential(微分)三者的缩写,PID控制是连续系统中技术最成熟、应用最广泛的一种控制算法。

比例控制是对当前偏差的反应,积分控制是基于新近错误总数的反应,而微分控制则是基于错误变化率的反应。

PID控制实质是测量偏差、纠正偏差,并且根据输入的偏差值,按比例、积分、微分的函数关系进行乘、加运算,把运算结果用以输出控制

3.1.1模拟PID

在模拟控制系统中,调节器最常用的控制规律是PID控制,常规PID控制系统原理框图如图3-1所示,系统由模拟PID调节器、执行机构及控制对象组成。

图3-1模拟PID控制系统原理框图

PID调节器是一种线性调节器,它根据给定值

与实际输出值

构成的控制偏差:

=

-

(3-1)

模拟PID调节器的控制规律为

(3-2)

式中,

为比例系数,

为积分时间常数,

为微分时间常数。

由式(3-2)可得,模拟PID调节器的传递函数为

(3-3)

由于本设计主要采用数字PID算法,所以对于模拟PID只做此简要介绍。

3.1.2数字PID

由于计算机只能识别数字量,不能对连续的控制算式直接进行运算,故在计算机控制系统中,首先必须对控制规律进行离散化的算法设计。

在连续时间域中,PID控制器控制系统框图也直接可表述为

图3-2PID控制器控制系统框图

PID控制传递函数为:

(3-4)

时域拉氏发变换为:

(3-5)

其中:

e(t)为控制器的输入即控制系统的给定量与输出量的偏差;u(t)为控制器的输出;

为比例系数;

为积分时间常数;

为微分时间常数。

(1)比例控制器P

控制输出函数关系为:

(3-6)

协调参数

:

增大时,可以加快系统的动态响应速度,减小系统稳态误差,但不能完全消除稳态误差

,并且

过大,会引起系统振荡,超调量增加,有可能造成系统不稳定。

(2)比例-积分控制器PI

控制输出函数关系为:

(3-7)

加入积分环节,目的是消除系统稳态误差,因为随着不断累加偏差,最终会消除稳态误差,但是会影响系统的稳定性。

积分时间常数

越大,积分作用越弱,反之则越强。

因此,减小

,可以消除稳态误差

,但是

过小,可能会造成系统不稳定,并且系统动态响应速度过慢。

(3)比例-积分-微分控制器PID

控制输出函数关系为:

(3-8)

加入微分环节,用来改善系统动态响应速度过慢。

在响应过程中,提前抑制偏差向任何方向的变化,对偏差变化进行提前预报,降低系统超调,增加系统稳定性

协调参数

过大过小,也会影响系统的动态响应速度及稳定性。

3.2数字PID参数整定方法

经验法是靠工作人员的经验及对工艺的熟悉程度,参考测量值跟踪与设定值曲线,来调整P、I、D三者参数的大小的,具体操作可按以下口诀进行:

参数整定找最佳,从小到大顺序查;

先是比例后积分,最后再把微分加;

曲线振荡很频繁,比例度盘要放大;

曲线漂浮绕大湾,比例度盘往小扳;

曲线偏离回复慢,积分时间往下降;

曲线波动周期长,积分时间再加长;

曲线振荡频率快,先把微分降下来;

动差大来波动慢,微分时间应加长。

3.3本章小结

本章主要针对PID算法进行分类讨论,对比模拟PID算法和数字PID算法,由于计算机只能识别数字量,不能对连续的控制算式直接进行运算,故在计算机控制系统中,首先必须对控制规律进行离散化的算法设计。

也就是要运用数字PID算法才能识别。

方便得出PID参数,本文介绍了经验法得到参数。

第四章系统软件设计

4.1MATLAB设计思想

本设计运用它的图像仿真功能判断给出系统闭环传递函数的稳定性,然后使用Simulink仿真模块对前面给定函数形式判断测试出合适的P、I、D参数。

PID控制已经形成了典型结构,参数易于调整,结构简单,且结果改变灵活(如PI、PD等),所以它被广泛应用于许多工业生产过程控制,获得了良好的效果。

图4-1系统PID控制框图

4.2设计步骤及结果

Matlab程序设计仿真如下:

(1)判断闭环系统的稳定性:

图4-2直流电机输出电压与转速函数关系Bode图和Nyquist曲线图

标记出奈圭斯特曲线与负实轴的交点及用bode图绘出的相频特性曲线与

线相交点坐标。

分析:

1)由第一个图知道,开环传递函数有两个极点,即有两条根轨迹(绿色部分),没有零点。

2)由图二知道,零极点图中只绘出了一个极点P1=-66.4,而省略了另一个极点P2=-1350。

3)由开环传递函数表达式知,开环传递函数在,s右半平面极点个数P=0,(s=jw),w:

0到正无穷变化时,奈圭斯特曲线绕点(-1,j0)逆时针旋转圈数为N=0,根据奈圭斯特稳定判据得,Z=P-2N=0,所以闭环系统是稳定的,其中Z表示闭环传递函数在s平面右半平面极点个数。

4)根据对数频率稳定判据(又称Bode判据),闭环系统稳定的充分必要条件是

通过观察也可知,相频特性曲线过

线次数为0,即

N=0,系统是稳定的。

并且由P=0,也可计算N=0。

(2)绘制未加入校正装置的系统开环阶跃响应曲线,根据系统的开环传递函数,系统的阶跃响应曲线如下图所示。

图4-3系统阶跃响应曲线图

由图可知,系统的阶跃响应曲线较平滑,经过大约0.05s就趋于稳定,可知设计函数较理想。

(3)纯PID控制仿真

对电机同步控制系统采用PID,根据式(2-3)的传递函数,通过多次试验,得到较适宜的PID参数:

,设计了系统PID仿真结构图及simulink仿真模块,并得出仿真结果,分别如下图4-4、4-5所示:

图4-4系统PID控制下仿真模块设计

(a)PID控制下全局图(b)PID控制下局部图

图4-5PID控制下直流电机同步仿真响应曲线

4.3本章小结

本章主要介绍了运用MATLAB软件对第二章直流电机给定函数关系进行程序编写,判断函数的稳定性,运用到Nyquist判据和Bode判据,观察图中零极点显示及特殊点,并针对具体结果作出分析,最终得出第二章所给函数决定的闭环控制系统是稳定的,便于后面的进一步设计。

 

第五章基于FPGA的直流电机闭环控制数字硬件系统设计

 

5.1系统的工作原理

(1)总体硬件结构

图5-1基于FPGA的直流电机闭环控制系统的总体硬件结构

(2)基于FPGA的直流电机闭环控制系统的系统工作流程框图如图6-2

图5-2直流电机闭环控制系统的系统工作流程框图

工作流程为:

检测到电机工作脉冲,将其转换为实际转速b,实际转速b与通过键盘设定好的设定转速a比较并且分析,得出偏差值Q,内部的PID调节器对偏差Q和a,b进行分析,输出调节比较器2(PWM波形发生器)的比较值的信号。

比较器2输出的PWM波形接到电机开关控制器,电机控制器的输出由输入按键5控制。

开关控制器开时输出PWM波形到H型驱动电路驱动电机工作。

5.2系统硬件设计及分析

该部分主要针对原理图,设计以下几个模块,对其基于FPGA设计及分析:

频率计、PWM驱动电路、比较器、PID控制器、防干扰滤波器。

5.2.1频率计的设计

对电机转速的测量是通过对比较器输出的脉冲个数在一定闸门时间进行记数。

通过对记数值的转换来得到电机的转速。

由于永磁直流齿轮减速电机额定转速给定,在本设计中,闸门时间为0.25秒。

假设在0.25秒的闸门时间内共检测到N个脉冲,脉冲数由光电编码器进行转换输出,假设输出分辨率选用1000个脉冲/圈,则可以通过计算得出电机的最高频率F=N*4*70/60转/秒=4667个脉冲/秒。

采用十三位二进制计数器设计。

根据频率计的工作原理,将电路划分为测频控制器、计数器、锁存器三个模块。

控制器——产生1s脉宽的计数允许信号

计数器——对输入信号的脉冲数进行累计

锁存器——锁存测得的频率值

下图给出十三位二进制频率计的电路结构图:

图5-3十三位二进制电路结构图

(1)测频控制器的设计

根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1s的对输入信号脉冲计数允许的信号;1s计数结束后,计数值锁入锁存器的锁存信号和为下一测频计数周期做准备的计数器清0信号。

这三个信号可以有一个测频控制信号发生器产生,即下图5-4中的testtcl,它的设计要求是,testtcl的计数使能信号cnt_en能产生一个1s脉宽的周期信号,并对频率计的每一计数器cnt4b的使能端进行同步控制。

当cnt_en为高电平时,允许计数;低电平时停止计数,并保持其所计的脉冲数。

在停止计数期间,首先要产生一个锁存信号load,在该信号上升沿时,将计数器在前1s钟的计数值锁存进各锁存器reg4b中,可有外部的7段数码管显示计数值。

设置锁存器的好处是,显示的数据稳定,不会由于周期性的清零信号而不断闪烁。

锁存信号之后,必须有一清零信号rst_cnt对计数器进行清零,为下一秒的计数操作作准备。

图5-4频率计测频控制器testtcl测控电路图及时序图

(2)计数器设计

频率计的核心元件之一是一个13位二进制脉宽计数器。

下图5-5十三位二进制计数器是一个带有异步复位和同步时钟使能的十进制加法计数器,当时钟信号clk、复位信号rst或时钟使能信号en中任一信号发生变化,都将启动进程语句PROCESS。

如果此时rst为“1”,将对计数器清零,即复位,这项操作是独立于clk的,因而称异步;如果rst为“0”,则看是否有使能信号的上升沿;如果此时有clk信号,又测得en=“1”,即允许计数器计数,此时若满足计数值小于9,计数器将进行正常计数,即执行CQI<=CQI+1,否则对CQI清零;但如果测得en=0,则跳出IF语句,使CQI保持原值,并将计数值向端口输出:

AA<=CQI。

图5-5十三位二进制计数器电路及时序仿真波形

由仿真波形可知,时钟使能为1时允许计数器计数,依次从1计数到3,满足设计要求。

(3)锁存器

当cnt_en为高电平时,允许计数;低电平时停止计数,并保持其所计的脉冲数。

在停止计数期间,首先要产生一个锁存信号load,在该信号上升沿时,将计数器在前1s钟的计数值锁存进各锁存器reg13b中,可有外部的7段数码管显示计数值。

设置锁存器的好处是,显示的数据稳定,不会由于周期性的清零信号而不断闪烁。

锁存信号之后,必须有一清零信号rst_cnt对计数器进行清零,为下一秒的计数操作作准备。

图5-613位锁存器电路图及时序仿真

分析:

根据时序仿真波形可知,锁存器对计数器前1秒计数值进行锁存并保存,符合设计要求。

(4)顶层频率计设计

有了上图5-4、5-5、5-6的电路元件,就可以制作顶层频率计,使其成为能自动测频的使用频率计了。

改造后电路如图5-7所示。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 小学教育 > 语文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1