EDA实习报告.docx

上传人:b****7 文档编号:23355971 上传时间:2023-05-16 格式:DOCX 页数:28 大小:681.43KB
下载 相关 举报
EDA实习报告.docx_第1页
第1页 / 共28页
EDA实习报告.docx_第2页
第2页 / 共28页
EDA实习报告.docx_第3页
第3页 / 共28页
EDA实习报告.docx_第4页
第4页 / 共28页
EDA实习报告.docx_第5页
第5页 / 共28页
点击查看更多>>
下载资源
资源描述

EDA实习报告.docx

《EDA实习报告.docx》由会员分享,可在线阅读,更多相关《EDA实习报告.docx(28页珍藏版)》请在冰豆网上搜索。

EDA实习报告.docx

EDA实习报告

 

课程设计报告

 

设计名称EDA(VHDL)课程设计

专业班级电子1142

姓名

学号

 

成绩评定

考核

内容

平时

表现

设计

报告

设计成果和答辩

综合评

定成绩

成绩

 

电气与信息工程学院

2013年12月

 

课程设计要求和成绩考核办法

1.不允许在教室或实验室内吸烟、吃零食,不准带无关人员到教室或实验室活动,否则扣平时表现分。

2.凡病事假超过3天(每天7小时),或迟到早退三次以上,或旷课两次(1天)以上,不得参加本次考核,按不及格处理,本次课程设计不能通过。

3.病事假必须有请假条,需经班主任或有关领导批准,否则按旷课处理。

4.课程设计的考核由指导教师根据设计表现(出勤、遵守纪律情况等)、设计报告、设计成果、答辩等几个方面,给出各项成绩或权重,综合后给出课程设计总成绩。

该设计考核须经教研室主任审核,主管院长审批备案。

5.成绩评定采用五级分制,即优、良、中、及格和不及格。

6.课程设计结束一周内,指导教师提交成绩和设计总结。

 

实习报告要求

实习报告内容、格式各专业根据实习(设计)类别(技能实习、认识实习、生产实习、毕业实习等)统一规范,经教研室主任审核、主管院长审批备案。

注意:

1.课程设计任务书和指导书在课程设计前发给学生,设计任务书放置在设计报告封面后和正文目录前。

2.统一采用A4纸打印,课程设计报告除封皮外,设计任务书和正文都单面打印,左侧装订,订两个钉。

 

目录

一:

基于FPGA的半整数分频设计

1系统设计任务及功能描述.....................................3

1.1系统设计任务基于FPGA的半整数分频器设计3

1.2小数分频的基本原理3

1.3系统功能概述3

2系统设计方案3

2.1系统设计方案3

3电路模块VHDL设计3

3.1模12计数器4

3.1.1模12计数器VHDL设计4

3.1.2模12计数器波形仿真4

3.1.3模12计数器元件图5

3.2分频比11.5的半整数分频器逻辑设计及仿真5

3.2.1分频比11.5的半整数分频器逻辑图设计6

3.2.1分频比11.5的半整数分频器逻辑仿真.....................................6

4课程设计总结7

参考文献7

 

二:

数字秒表的VHDL设计

1系统设计任务及功能描述8

2系统设计方案8

2.1系统设计方案8

3电路模块VHDL设计9

3.1模6计数器VHDL设计及波形仿真9

3.1.1模6计数器VHDL设计10

3.1.2模6计数器波形仿真10

3.2模10计数器VHDL设计及波形仿真11

3.2.1模10计数器VHDL设计11

3.2.1模10计数器波形仿真12

3.3分频器VHDL设计及波形仿真13

3.3.1分频器VHDL设计13

3.3..2分频器波形仿真14

3.4数字秒表VHDL设计及仿真14

3.4..1数字秒表VHDL设计14

3.4..2数字秒表电路波形仿真15

3.5数字秒表总体设计结果17

4课程设计总结.....................................18

参考文献18

 

 

基于FPGA的半整数分频器设计

一.系统设计任务及功能概述

1.系统设计任务基于FPGA的半整数分频器设计

任务要求:

设有一个5MHz(或7、9、11、13、15、17、19、21、23、25MHz)的时钟源,但电路中需要产生一个2MHz的时钟信号,由于分频比为2.5(或3.5、4.5、5.5、6.5、7.5、8.5、9.5、10.5、11.5、12.5),因此采用小数分频。

2.小数分频的基本原理

小数分频的基本原理是采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。

如设计一个分频系数为10.1的分频器时,可以将分频器设计成9次10分频,1次11分频,这样总的分频值为:

F=(9×10+1×11)/(9+1)=10.1

3.系统功能概述

本系统是一个基于FPGA的半整数分频器,具有以下功能:

有一个5MHz的时钟源,通过半整数分频器后电路中可以产生的是一个2MHz的时钟信号

二.系统设计方案和程序设计

1.系统设计方案

由于分频比为2.5,因此采用小数分频。

分频系数为N-0.5的分频器,其电路可由一个异或门、一个模N计数器和二分频器组成。

下图给出了通用半整数分频器电路组成。

由于分频比为2.5则本实验中先要设计一个模11的计数器,然后建立模11计数器的元件,再利用原理图设计完成分频器的设计

三、电路模块VHDL程序设计-

VHDL程序设计

(1)模12计数器VHDL程序如下:

libraryieee;----IEEE库的使用说明

useieee.std_logic_1164.all;----程序包使用说明

useieee.std_logic_unsigned.all;

entityMOU12is----定义实体mou12

port(clr,ena,clk:

instd_logic;----定义端口CLR,ENA,CLK为输入端口

qa,qb,qc,qd:

outstd_logic);----QA,QB,QC,QD为输出端口

endentityMOU12;----实体结束

architectureartofMOU12is----定义了结构体

signalcqi:

std_logic_vector(0to3);----定义信号CQI

begin

process(clk,clr,ena)is----进程开始,clk,clr,ena为敏感信号

begin

ifclr='1'thencqi<="0000";

else

ifclk'eventandclk='1'then----时钟有效

ifena='1'then

ifcqi=11thencqi<="0000";----有清零信号,或计数已达11,CQI输出0

else

cqi<=cqi+1;----否则作加1操作

endif;

endif;

endif;

endif;

endprocess;----进程结束

qa<=cqi(0);----信号赋值

qb<=cqi

(1);

qc<=cqi

(2);

qd<=cqi(3);

endarchitectureart;

(2).输入、输出接口说明

接口

名称

类型

(输入/输出)

结构图上

的信号名

引脚号

说明

INCLK

IN

inclock

2

系统时钟21MHz

OUTCLK

OUT

outclk

3

系统输出

Q

OUT

q

4

系统输出

 

接口

名称

类型

(输入/输出)

结构图上

的信号名

引脚号

说明

INCLK

IN

inclock

2

系统时钟21MHz

OUTCLK

OUT

outclk

3

系统输出

Q

OUT

q

4

系统输出

(3).模12计数器仿真波形图

图1计数器仿真波形图

 

(4).文件所在目录

图2模12文件所在目录

四、半整数分频器电路总体设计结果

(1)半整数分频器原理图

图3分频器原理图

(2).半整数分频器仿真波形图

图4分频器仿真波形图

(3).半整数分频器所在目录

.图5半整数分频器所在目录

 

五.课程设计总结

本次试验采用了程序与原理图相结合的设计方法,主要编写计数器的程序实现分频。

经过一段时间的实验操作使我熟练的掌握了对软件maxplus2的使用,加深了对VHDL语言的了解,提高了编程能力。

在应用VHDL的过程中让我真正领会到了其并行运行与其他软件顺序执行的差别及其在电路设计上的优越性。

用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从实践中得出结论,才能真正掌握知识,从而提高自己的实际动手能力和独立思考的能力。

在设计的过程中遇到问题,可以说得是困难重重,如源文件名与实体名不一致,导致程序无法运行,实验不足之处是实验每次只能一种分频比,如果需要其它的分频比,需要修改程序

参考文献

[1]谭会生,瞿遂春.EDA综合应用与实例分析.西安:

西安电子科技大学出版社,2004,11.

[2]潘松著.EDA技术实用教程(第二版).北京:

科学出版社,2005.

[3]谭会生,张昌凡编著.EDA技术及应用.西安:

西安电子科技大学出版社.2011,1.

[4]阎石主编.数字电子技术基础.北京:

高教出版社,2003.

[5]刘艳萍,高振斌,李志军.EDA实用技术及应用[M].北京:

国防工业出版社,2006

 

基于VHDL的数字秒表设计

一、系统设计任务及功能概述

1、系统设计任务基于VHDL的数字秒表设计

本系统是一个数字秒表电路,数字秒表电路计时范围的要求为0.01-1小时,即59分59.99秒,所以这就需要获得一个比较精确的计时基准信号,此基准信号可以由CPLD/FPGA试验箱提供的频率具体设定分频器。

六进制计数器针对10秒和10分位,十进制针对0.01秒、0.1秒、1秒和1分位,这是根据不同的计数进位而设定的。

电路还需要一个扫描电路,对每一位的计时结果进行不断的扫描,扫描的速度要大于输入信号的频率,避免出现扫描滞后、显示的结果不是当前结果的现象。

扫描的同时还需要一个位码电路。

位码电路的作用是将数字秒表的各位选中,以便七段数码管的显示。

每一个功能模块作为一个实体单独进行设计,最后再用VHDL的例化语句将各个模块进行整合,生成顶层实体top。

2、系统功能阐述:

 

1、分频器模块:

将5MHz的时钟信号转换成100Hz的计时脉冲,使秒表正常工作;

2、计数器模块:

这是本秒表设计的基本功能,对时间进行计数并在显示屏显示当前时间,这个模块中,分别有十进制计数器和六进制计数器,共用四个十进制,分别表示数字秒表的百分之一秒、十分之一秒、秒和分,两个六进制,分别表示数字秒表的十秒和十分;

3、显示电路模块:

6个计数器中的每一位计数器的4位输出,通过外设的BCD译码器输出显示。

图2中,6个4位二进制计数器输出的最小显示值分别为:

DOUT[3..0]-1/100s、DOUT[7..4]-1/10s、DOUT[11..8]-1s、DOUT[15..12]-10s、DOUT[19..16]-1min、DOUT[23..20]-10min等 

二、系统设计方案

按照EDA自顶向下的设计理念,该数字秒表主要由显示译码器、分频器、十进制计数器(1/100s.1/10s.1s.1min)以及六进制计数器(10s.10min)组成,其顶层电路如下图所示。

 

图2数字秒表系统的连接的原理图

 

图7数字秒表电路逻辑实现原理总图

三、电路模块VHDL程序设计

(一)模6计数器VHDL设计及波形仿真

1.模6计数器VHDL程序

libraryieee;---IEEE库的使用说明]

useieee.std_logic_1164.all;---程序包使用说明

useieee.std_logic_unsigned.all;

ENTITYcntm6IS----定义实体

PORT(CLK:

INstd_logic;----定义CLK.CLR.ENA为输入端口·,CO.CQ为输出端口

CLR:

INstd_logic;

ENA:

INstd_logic;

CO:

outstd_logic;

CQ:

OUTstd_logic_vector(3downto0));

ENDENTITYcntm6;----实体结束

ARCHITECTUREARTOFcntm6IS----定义结构体

SIGNALCQI:

STD_LOGIC_VECTOR(3DOWNTO0);----定义信号CQI

BEGIN

PROCESS(CLK,CLR,ENA)IS----敏感信号CLK,CLR,ENA

BEGIN

IFCLR='1'THEN----CLR置一清零

CQI<="0000";

ELSIFCLK'EVENTANDCLK='1'THEN

IFENA='1'THEN

IFCQI="0101"THEN----加到五再加一清零

CQI<="0000";

ELSECQI<=CQI+'1';----否则CQI加一,重载操作符+

ENDIF;

ENDIF;

ENDIF;

ENDPROCESS;

PROCESS(CQI)IS----定义敏感信号CQI

BEGIN

IFCQI="0000"THEN

CO<='1';----CO赋一

ELSECO<='0';

ENDIF;

ENDPROCESS;----结束进程

CQ<=CQI;----把CQ赋给CQI

ENDARCHITECTUREART;----结束结构体

2.输入输出接口说明

接口名称

类型(输入/输出)

结构图上的信号名

说明

CLK

IN

CLK

系统时钟

CLR

IN

CLR

系统输入

ENA

IN

ENA

系统输入

CO

OUT

CO

系统输出

CQ

OUT

CQ

系统输出

 

3.模6计数器波形仿真图

图8模6计数器波形仿真图

 

4.文件所在目录

图9模6文件所在目录

(二)模10计数器VHDL设计及波形仿真

1.模10计数器VHDL程序

libraryieee;----IEEE库的使用说明

useieee.std_logic_1164.all;----程序包使用说明

useieee.std_logic_unsigned.all;

ENTITYcntm10IS----定义实体

PORT(CLK:

INstd_logic;----定义CLK.CLR.ENA为输入端口·,CO.CQ为输出端口

CLR:

INstd_logic;

ENA:

INstd_logic;

CO:

outstd_logic;

CQ:

OUTstd_logic_vector(3downto0));

ENDENTITYcntm10;----实体结束

ARCHITECTUREARTOFcntm10IS----定义结构体

SIGNALCQI:

STD_LOGIC_VECTOR(3DOWNTO0);----定义信号CQI

BEGIN

PROCESS(CLK,CLR,ENA)IS----敏感信号CLK,CLR,ENA

BEGIN

IFCLR='1'THEN----CLR=1,CQI清零

CQI<="0000";

ELSIFCLK'EVENTANDCLK='1'THEN

IFENA='1'THEN

IFCQI="1001"THEN----加到九再加一清零

CQI<="0000";

ELSECQI<=CQI+'1';----否则CQI加一,重载操作符+

ENDIF;

ENDIF;

ENDIF;

ENDPROCESS;----结束进程

PROCESS(CQI)IS----定义敏感信号CQI

BEGIN

IFCQI="0000"THEN

CO<='1';----CO赋一

ELSECO<='0';

ENDIF;

ENDPROCESS;----结束进程

CQ<=CQI;----把CQ赋给CQI

ENDARCHITECTUREART;-----结束结构体

2.输入输出接口说明

接口名称

类型(输入/输出)

结构图上的信号名

说明

CLK

IN

CLK

系统时钟

CLR

IN

CLR

系统输入

ENA

IN

ENA

系统输入

CO

OUT

CO

系统输出

CQ

OUT

CQ

系统输出

3.模10计数器波形仿真

图10模10计数器波形仿真图

 

4.模10文件所在目录

图11模10文件所在目录

(三)分频器逻辑设计及仿真设计

1.分频器VHDL程序

libraryieee;----IEEE库的使用说明

useieee.std_logic_1164.all;----程序包使用说明

ENTITYclkgenis----定义实体

PORT(CLK:

INstd_logic;----定义端口CLK输入端口,NECLK输出端口

NEWCLK:

outstd_logic);

ENDENTITYclkgen;

ARCHITECTUREARTOFclkgenIS----定义结构体

SIGNALCNT:

INTEGERRANGE0TO10#49999#;---定义信号CNT

BEGIN

PROCESS(CLK)IS----敏感信号CLK

BEGIN

IFCLK'EVENTANDCLK='1'THEN----时钟有效

IFCNT=10#49999#THENCNT<=0;----记满清零

ELSECNT<=CNT+1;----否则加一

ENDIF;

ENDIF;

ENDPROCESS;----结束进程

PROCESS(CNT)IS----定义敏感信号CNT

BEGIN

IFCNT=10#49999#THENNEWCLK<='1';

ELSENEWCLK<='0';

ENDIF;

ENDPROCESS;----结束进程

ENDARCHITECTUREART;----结束结构体

2.输入输出接口说明

接口名称

类型(输入/输出)

结构图上的信号名

说明

CLK

IN

CLK

系统时钟

NEWCLK

OUT

NEWCLK

系统输出

3.分频器波形仿真

图12分频器时序波形仿真图

4.分频器所在目录

图13分频器所在目录

 

(四)数字秒表VHDL设计及波形仿真

1.数字秒表VHDL程序

LIBRARYIEEE;----IEEE库的使用说明

USEIEEE.STD_LOGIC_1164.ALL;----程序包使用说明

ENTITYyangyue37zongIS----定义实体

PORT(CLK:

INstd_logic;----定义端口,CLK,CLR,ENA输入端口,DOUT输出端口

CLR:

INstd_logic;

ENA:

INstd_logic;

DOUT:

OUTstd_logic_vector(23downto0));

ENDENTITYyangyue37zong;----实体结束

ARCHITECTUREARTOFyangyue37zongIS----定义结构体

COMPONENTCLKGENis

PORT(CLK:

INSTD_LOGIC;----CLK输入端口,NEWCLK输出端口

NEWCLK:

OUTSTD_LOGIC);

ENDCOMPONENTCLKGEN;

COMPONENTCNTM10IS

PORT(CLK,CLR,ENA:

INSTD_LOGIC;----CLK,CLR,ENA输入端口,CQ,CO输出端口

CQ:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);

CO:

OUTSTD_LOGIC);

ENDCOMPONENTCNTM10;----结束实体

COMPONENTCNTM6IS

PORT(CLK,CLR,ENA:

INSTD_LOGIC;----CLK,CLR,ENA输入端口,CQ,CO输出端口

CQ:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);

CO:

OUTSTD_LOGIC);

ENDCOMPONENTCNTM6;

SIGNALS0:

STD_LOGIC;----定义信号S0,S1,S2,S3,S4,S5

SIGNALS1,S2,S3,S4,S5:

STD_LOGIC;

BEGIN

U0:

CLKGENPORTMAP(CLK=>CLK,NEWCLK=>S0);----名称关联

U1:

CNTM10PORTMAP(S0,CLR,ENA,DOUT(3DOWNTO0),S1);----位置关联

U2:

CNTM10PORTMAP(S1,CLR,ENA,DOUT(7DOWNTO4),S2);

U3:

CNTM10PORTMAP(S2,CLR,ENA,DOUT(11DOWNTO8),S3);

U4:

CNTM10PORTMAP(S3,CLR,ENA,DOUT(15DOWNTO12),S4);

U5:

CNTM10PORTMAP(S4,CLR,ENA,DOUT(19DOWNTO16),S5);

U6:

CNTM10PORTMAP(S5,CLR,ENA,DOUT(23DOWNTO20));

ENDARCHITECTUREART;----结束结构体

2.输入输出接口说明

接口名称

类型(输入/输出)

结构图上的信号名

说明

CLK

IN

CLK

系统时钟

CLR

IN

CLR

系统输入

ENA

IN

ENA

系统输入

DOUT

OUT

DOUT

系统输出

3.数字秒表波形仿真

图14数字秒表时序波形仿真图

4.数字秒表所在目录

图15数字秒表所在目录

 

四、数字秒表电路总体设计结果

1.数字秒表总电路图

图16数字秒表电路逻辑实现原理总图

2.数字秒表时序波形仿真图

图17数字秒表电路时序波形仿真图

 

3.数字秒表所在目录

图18数字秒表所在目录

4.输入、输出接口说明

接口

名称

类型

(输入/输出)

结构图上

的信号名

说明

CLK

IN

CLK

系统时钟5MHz

RES

IN

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 考试认证 > 其它考试

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1