EDA数字秒表课程设计报告.doc

上传人:b****1 文档编号:230353 上传时间:2022-10-07 格式:DOC 页数:22 大小:173KB
下载 相关 举报
EDA数字秒表课程设计报告.doc_第1页
第1页 / 共22页
EDA数字秒表课程设计报告.doc_第2页
第2页 / 共22页
EDA数字秒表课程设计报告.doc_第3页
第3页 / 共22页
EDA数字秒表课程设计报告.doc_第4页
第4页 / 共22页
EDA数字秒表课程设计报告.doc_第5页
第5页 / 共22页
点击查看更多>>
下载资源
资源描述

EDA数字秒表课程设计报告.doc

《EDA数字秒表课程设计报告.doc》由会员分享,可在线阅读,更多相关《EDA数字秒表课程设计报告.doc(22页珍藏版)》请在冰豆网上搜索。

EDA数字秒表课程设计报告.doc

课程设计任务书

学生姓名:

专业班级:

电子科学与技术电子00901班

指导教师:

工作单位:

武汉理工大学信息工程学院

题目:

数字秒表

一、设计目的

①根据设计要求,完成对数字秒表的设计。

②进一步加强对QuartusⅡ的应用和对VHDL语言的使用。

二、设计内容和要求

①计时精度应大于1/100S,计时器能显示1/100S的时间,提供给计时器内部定时的时钟频率应大于100Hz,这里选用1KHz。

②计时器的最大计时时间为1小时,为此需要6位的显示器,显示的最长时间为59分59.99秒。

③设置有复位和起/停开关,复位开关用来使计数器清零,做好计时准备。

起停开关的使用方法与传统的机械式计数器相同,即按一下,启动计时器开始计时,再按一下计时终止。

三、初始条件

CPLD,按键,时钟信号等。

四、时间安排:

EDA课程设计布置工作6.11

设计6.12~6.15

硬件调试6.17~6.19

撰写设计报告6.20~6.21

检查硬件、答辩、提交设计报告6.22

指导教师签名:

年月日

系主任(或责任教师)签名年月日

17

目录

摘要 I

Abstract II

绪论 III

一系统设计方案 1

二底层模块设计 2

2.1计时电路 2

2.1.1时基分频器 2

2.1.2100进制计数器 3

2.1.360进制计数器 3

2.2计时控制电路 4

2.3显示电路 4

2.3.1七段译码器 4

2.3.2扫描模块 4

三顶层原理图 5

四系统仿真 6

4.1计时电路的仿真 6

4.2计时控制电路的仿真 8

4.3显示电路的仿真 8

五器件编程与硬件下载 9

六心得体会 10

七参考文献 11

附录 12

武汉理工大学《EDA》课程设计说明书

摘要

随着人们生活水平的日益提高,社会体系的日益完善,人们对于各种应用器件的要求也越来越高。

秒表作为日常生活中,特别是体育运动中应用的特别广泛,所以精确且方便使用的秒表就被越来越多的人所选择。

本秒表计时器用于体育竞赛及各种要求有较精确时的各领域,往常利用中小规模集成电路实现,但一般体积大,使用携带不方便。

利用VHDL在FPGA或CPLD上实现1/100秒计时控制器,能充分发挥VHDL与可编程逻辑器件灵活、高效、集成度高的特点。

利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。

该秒表能对0秒~59分59.59秒范围进行计时,显示最长时间是59分59秒。

计时精度达到10ms。

设计了复位开关和启停开关。

复位开关可以在任何情况下使用,使用以后计时器清零,并做好下一次计时的准备。

关键词:

EDA技术、VHDL语言、计时器、数码管

Abstract

Aspeoplelivingstandardriseincreasingly,ofthesocialsystemisincreasinglyperfect,forallsortsofpeopletherequirementsoftheapplicationdevicesmoreandmoreisalsohigh.Stopwatchasdailylife,especiallysportsoftheapplicationsofthespecialextensive,sopreciseandconvenientuseastopwatchismoreandmorepeoplechoose.Thisstopwatchtimerusedforsportscompetitionandvariousrequirementshaveapreciseofeveryfield,usualmediumscaleintegratedcircuitofrealization,butgenerallyisbig,theuseofportability.UseofVHDLFPGAorCPLD1/100ofasecondtimeonitscontroller,cangivefullplaytoVHDLandprogrammablelogicdevicesflexible,efficient,andintegrationhighcharacteristic.

UseofVHDLlanguagedesignbasedonthecomputerinthecircuitprincipleoftheclockpulsedigitalstopwatch.Thestopwatchto0SEC-59pointsand59.59secondstimerange,showthelongesttimeis59points59seconds.Timeprecisionofthe10ms.Thedesignofthereplacementswitchandrev.Stopswitch.Resetswitchcanbeinanycaseuse,afterusetimerreset,anddothenexttimetoprepare.

Keywords:

EDAtechnology,VHDLlanguage,timers,digitaltube

绪论

在科技高度发展的今天,集成电路和计算机应用得到了告诉发展,尤其是计算机应用的发展,它在人们日常生活已逐渐崭露头角。

大多数电子产品多是由计算机电路组成,如:

手机、Mp3等。

而在将来的不就他们的身影将会更频繁的出现在我们身边呢。

各种家用电器多会实现微电脑技术。

电脑各部分在工作时多是以时间为基准的。

本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。

秒表在很多领域充当中一个重要的角色。

在各类比赛中对秒表的精度要求很高,尤其是一些科学实验,他们对时间的精度达到几纳米级别。

VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流和行为3种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。

另外,VHDL还有以下优点:

VHDL的宽范围描述能力使它成为高层次设计的核心,将设计人员的工作重心转移到了系统功能的实现和调试上,只需要花较少的精力用于物理实现;VHDL可以用简洁明确的代码描述来进行复杂控制逻辑的设计,灵活且方便,而且也便于设计结果的交流、保存和重用;VHDL的设计不依赖于特定的器件,方便了工艺的转换。

本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟卖场是怎么产生和工作的。

在掌握所学的计算机组成与结构课程理论知识时,通过对数字秒表的设计,进行理论与实际的结合,提供与计算机有关的设计能力,提高分析、解决计算机技术实际问题的能力。

通过课程设计深入了解计算机结构与控制实现的技术,达到课程设计的目标。

一系统设计方案

根据系统设计要求,系统的组成框图如图1所示。

数字秒表

计时控制电路

计时电路

显示电路

控制模块

时基分频电路

计数器

扫描电路

译码器

六十进制

计数器

一百进制

计数器

图1系统组成框图

系统设计采用自顶向下的设计方法,它主要是由控制模块、时基分频模块、计时模块和显示模块四部分组成。

(1)控制模块

计时控制模块的作用是对计时过程进行控制。

计时控制模块可用两个按钮开关来完成秒表的启动、停止和复位。

(2)计时模块

计时模块执行计时功能,计时的方法是对标准时钟脉冲计数。

由于计时范围是0秒~59分59.59秒,所以计时器可以由两个60进制计数器和一个100进制计数器构成,其中分和秒采用60进制计数器,毫秒采用100进制计数器。

(3)显示模块

计时显示电路的作用是将计时值在LED七段译码数码管上显示出来。

计时电路产生的计时值经过BCD七段译码后,驱动LED数码管。

计时显示电路的实现方案采用扫描显示,即每次只驱动一位数码管,各位数码管轮流驱动对应的数码管进行显示。

二底层模块设计

底层单元模块共有七个,全部用VHDL语言描述。

它主要是由计时电路、计时控制电路及显示电路组成。

2.1计时电路

计时电路执行计时功能,主要是由时基分频器、计数器组成。

计时方法是对标准时钟脉冲计数,计数器是由一个100进制计数器和两个60进制计数器构成,其中毫秒位采用100进制计数器,秒位和分位采用60进制计数器。

2.1.1时基分频器

时基分频器的作用是把输入的时钟信号变为分频输出信号,课设要求提供给计时器内部定时的时钟频率应大于100Hz,这里选用1KHz,即需将试验箱上20MHz时钟信号变频为1KHz,产生0.001秒时钟信号,使该秒表的计时精度达到要求。

分频器实际上就是计数器,假若输入端的时钟频率是f,每当输入N个时钟脉冲时,令输出端反向一次,则输出端对应的时钟频率就是f/(2N),任意改变数值N,就可以得到对应频率的输出脉冲。

生成元件如图2.1.1所示:

图2.1.1时基分频器

2.1.2100进制计数器

时基分频器的输出端输出频率为1KHz的时钟信号,输入到100进制计数器毫秒模块的时钟端CLK,产生的进位信号CO输入到下一级60进制计数器秒模块中。

2.1.360进制计数器

100进制计数器的输出端CO输出频率为1Hz的时钟信号,输入到60进制秒模块的时钟端CLK,产生的进位信号CO(1/60Hz)输入到60进制分模块的时钟输入端。

同时,将毫秒、秒、分产生的计数值通过启动/暂停开关、清零开关控制,再通过显示模块实时显示,若清零开关Clear=‘1’,则各位输出均为0,反之正常显示;同时,若启动/暂停开关CS=‘1’,则正常按脉冲计数显示,反之,输出各位输出显示值暂停。

生成元件连接图如图2.1.2所示:

图2.1.2计数器模块

2.2计时控制电路

此模块实现的功能是:

在数码管位选信号

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 考试认证 > IT认证

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1