EDA74160计数器设计.docx

上传人:b****1 文档编号:23015875 上传时间:2023-04-30 格式:DOCX 页数:17 大小:175.10KB
下载 相关 举报
EDA74160计数器设计.docx_第1页
第1页 / 共17页
EDA74160计数器设计.docx_第2页
第2页 / 共17页
EDA74160计数器设计.docx_第3页
第3页 / 共17页
EDA74160计数器设计.docx_第4页
第4页 / 共17页
EDA74160计数器设计.docx_第5页
第5页 / 共17页
点击查看更多>>
下载资源
资源描述

EDA74160计数器设计.docx

《EDA74160计数器设计.docx》由会员分享,可在线阅读,更多相关《EDA74160计数器设计.docx(17页珍藏版)》请在冰豆网上搜索。

EDA74160计数器设计.docx

EDA74160计数器设计

沈阳工程学院

EDA技术

——课程设计

专业班级:

电子专112

学生姓名:

杨媛

指导教师:

包妍

 

沈阳工程学院

课程设计任务书

 

课程设计题目:

74160计数器设计

 

系别自控系班级电子101

学生姓名杨媛学号2011337206

指导教师包妍职称讲师

课程设计进行地点:

实训F213

任务下达时间:

2013年12月20日

起止日期:

2013年12月30日起——至2014年1月10日止

系主任田景贺2013年12月10日批准

 

以下内容根据各专业特点自行确定(如条件、资料、内容、任务、进度安排及要求等):

1.设计题目:

设计模拟74LS160计数器

1.1设计目的:

(1)掌握模拟74LS160计数器的构成、原理与设计方法;

 

(2)熟悉MAXPLUSII软件的使用方法。

1.2基本要求:

(1)能用VHDL语言设计模拟74LS160计数器电路;

(2)能进行计数器硬件下载;

(3)应用层次化的设计方法。

1.3发挥部分:

(1)设计输入信号波形,并进行设计仿真;

(2)编程并进行硬件仿真;

2.对设计说明书、论文撰写内容、格式、字数的要求

1)课程设计说明书(论文)是体现和总结课程设计成果的载体,一般不应少于3000字。

2)学生应撰写的内容为:

中文摘要和关键词、目录、正文、参考文献等。

课程设计说明书(论文)的结构及各部分内容要求可参照《沈阳工程学院毕业设计(论文)撰写规范》执行。

应做到文理通顺,内容正确完整,书写工整,装订整齐。

3)说明书(论文)手写或打印均可。

手写要用学校统一的课程设计用纸,用黑或蓝黑墨水工整书写;打印时按《沈阳工程学院毕业设计(论文)撰写规范》的要求进行打印。

4)课程设计说明书(论文)装订顺序为:

封面、任务书、成绩评审意见表、中文摘要和关键词、目录、正文、参考文献。

 

3.时间进度安排:

顺序

阶段日期

计划完成内容

备注

1

12.30-12.31

选题,搜集资料,熟悉设计环境

2

01.02-01.06

调试程序

3

01.07-01.08

仿真程序

4

01.09-01.10

完成课程设计说明书(论文)、进行答辩

 

沈阳工程学院

EDA课程设计成绩评定表

系(部):

自动化学院班级:

电子专112学生姓名:

杨媛

指导教师评审意见

评价

内容

具体要求

权重

评分

加权分

调研

论证

能独立查阅文献,收集资料;能制定课程设计方案和日程安排。

0.1

5

4

3

2

工作能力

态度

工作态度认真,遵守纪律,出勤情况是否良好,能够独立完成设计工作,

0.2

5

4

3

2

工作量

按期圆满完成规定的设计任务,工作量饱满,难度适宜。

0.2

5

4

3

2

说明书的质量

说明书立论正确,论述充分,结论严谨合理,文字通顺,技术用语准确,符号统一,编号齐全,图表完备,书写工整规范。

0.5

5

4

3

2

指导教师评审成绩

(加权分合计乘以12)

加权分合计

指导教师签名:

年月日

评阅教师评审意见

评价

内容

具体要求

权重

评分

加权分

查阅

文献

查阅文献有一定广泛性;有综合归纳资料的能力

0.2

5

4

3

2

工作量

工作量饱满,难度适中。

0.5

5

4

3

2

说明书的质量

说明书立论正确,论述充分,结论严谨合理,文字通顺,技术用语准确,符号统一,编号齐全,图表完备,书写工整规范。

0.3

5

4

3

2

评阅教师评审成绩

(加权分合计乘以8)

加权分合计

评阅教师签名:

年月日

课程设计总评成绩

摘要

74LS160计数器具有异步清零、同步置位的功能。

运用译码程序在FPGA/CPLD中实现十进制显示,通过EDA原理图设计方法利用prim库中MAX-7000s系列芯片进行记数显示。

运用MAX-PLUSⅡ软件进行程序的编译,并用MAX-PLUSⅡ软件对电路进行仿真,最后将该电路下载到实验箱验证74LS160计数器功能的正确性。

关键字:

计数器,MAX-PULSⅡ软件,EDA技术

 

1引言

EDA即电子设计自动化技术,是指以计算机为基本工作平台,把应用电子技术、计算机技术、智能化技术融合在一个电子CAD通用软件包中,辅助进行三方面的电子设计工作,即集成电路设计、电子电路设计以及PCB设计。

总之,EDA技术的基本特征是采用具有系统仿真和综合能力的高级语言描述。

它一般采用自顶向下的模块化设计方电子产品世界。

EDA的发展经历了三个重要阶段,分别为20世纪70年代的计算机辅助设计阶段,该阶段是早期的电子系统硬件设计采用的是分立元件,随着集成电路的出现和应用,硬件设计进入到大量选用中小规模标准集成电路阶段。

再者是20世纪80年代的计算机辅助工程设计阶段,此阶段的初级阶段的硬件设计是用大量不同型号的标准芯片实现电子系统设计的。

随着微电子工艺的发展,相继出现了集成上万只晶体管的微处理器、集成几十万直到上百万储存单元的随机存储器和只读存储器。

最后是20世纪90年代电子设计自动化阶段,为了满足系统用户提出的设计要求,最好的办法是由用户自己设计芯片,让他们把想设计的电路直接设计在自己的专用芯片上。

到现在为止,EDA已经向着高密度、大规模的的方向发展,向系统内可重构的方向发展,向着低电压、低功耗的方向发展。

但是由于所设计的数字系统的规模大小不一,且系统内部逻辑关系复杂,如何划分逻辑功能模块便成为设计数字系统的最重要的任务。

2MAX-PLUSII软件介绍

2.1MAX-PLUSⅡ的输入方式

MAX-PLUSⅡ开发系统是易学易用的完全集成化的设计开发环境。

目前已发行10.0版本。

该软件与LATTICE公司的ISPEXPERT及FOUNDATTON相比具有使用简单,操作灵活,支持的器件多,设计输入方法灵活多变等特点。

常见的设计输入方法如下:

(1)图形设计输入:

MAX-PLUSⅡ的图形设计输入较其他软件更容易使用,因为MAX+PLUSⅡ提供丰富的库单元供设计者使用,尤其是在MAX+PLUSⅡ里提供的mf库几乎包含了所有的74系列的器件,在prim库里提供了数字电路中所有的分离器件。

因此只要具有数字电路的知识,几乎不需要过多的学习就可以利用MAX+PLUSⅡ进行CPLD/FPGA的设计。

(2)文本编辑输入:

MAX-PLUSⅡ的文本输入和编译系统支持VHDL语言、VERILOG语言、AHDL语言三种输入方式。

(3)波形输入方式:

如果知道输入、输出波形,也可以采用波形输入方式。

(4)混合输入方式:

MAX-PLUSⅡ设计开发环境,支持图形设计输入、文本编辑输入、波形编辑输入的混合编辑。

2.2MAX-PLUSⅡ的功能、特点

MAX-PLUSⅡ是一个完全集成化的可编程逻辑环境,能满足用户各种各样的设计需要。

它支持Altera公司不同结构的器件,可在多平台上运行。

MAX-PLUSⅡ具有灵活性和高效性,有丰富的图形界面,可以随时访问在先帮助文档,含有非常丰富的操作界面,对设计者来说是很直观,又简单容易操作。

不仅如此,MAX-PLUSⅡ还可以根据输入的高低电平自动输出输出波形,只要你给出输入的波形,再用一个简单的操作就可以让MAX-PLUSⅡ软件自动形成输出波形。

使用该软件设计能大大的缩短设计时间。

MAX-PLUSⅡ开发系统众多突出的特点,使它深受广大用户的青睐。

2.3MAX-PLUSⅡ的操作环境

MAX-PLUSII由设计输入、项目处理、项目检验和器件编程等4部分组成,如图2.3.1所示。

所有这些部分都集成在一个可视化的操作环境下。

MAX-PLUSII管理窗口包括项目路径、工作文件标题条、MAX-PLUSII菜单条、快捷工具条和工作区等几个部分。

MAX-PLUSII还为用户提供了功能强大的在线帮助功能。

通过使用在线帮助,用户可以获得设计中所需的全部信息。

在线帮助可以通过使用功能键或鼠标打开。

按F1键可以获得对话框内容、高亮度菜单命令或弹出式的帮助信息;按Shift+F1键或点击快捷工具按纽即可进入在线帮助状态,此时点击屏幕上任何可见的条目,都可获得有关该项的帮助信息。

 

 

图2.3.1MAX-PLUSII的组成

374LS160计数器

74160是一个十进制计数器,它具有计数允许、复位和预置数据功能。

其逻辑功能说明如表3.1所示。

表3.174LS160逻辑功能表

功能

输入

输出

操作

MR

CP

CEP

CET

PE

Dn

Qn

Te

复位

L

×

×

×

×

×

L

L

预置

H

C

×

×

L

L

L

L

预置

H

C

×

×

L

H

H

dn

计数

H

C

H

H

H

×

H

dn

保持

H

×

L

×

H

×

Qn

dn

保持

H

×

×

L

H

×

Qn

L

3.174160的功能

(1)异步清零

(CLR’)=0时,不管其他输入的状态如何(包括时钟信号CP),计数器输出将被直接置零,称为异步清零。

(2)同步置数

=1的条件下,当

(LOAD’)=0且有时钟脉冲CP的上升沿作用时,D0、D1、D2、D3输入端的数据将分别被Q0~Q3所接收。

由于这个置数操作要与CP上升沿同步,且D0、D1、D2、D3的数据同时置入计数器,所以称为同步置数。

(3)计数

=

=ENP=ENT=1时,74160处于计数状态,电路从0000状态开始,连续输入16个计数脉冲后,电路将从1111状态返回到0000状态,RCO端从高电平跳变至低电平。

可以利用RCO端输出的高电平或下降沿作为进位输出信号。

3.2VHDL语言程序设计

LIBRARYIEEE;

USEIEEE.STD_LOGIC-1164.ALL;

USEIEEE.STD_LOGIC-UNSIGNED.ALL;

ENTITYLS74160IS

PORT(CLK,RST,ENA,PE:

INSTD_LOGIC;

__CLK计数脉冲,RST复位.ENA计数保持(仅能),PE数据预置.

D:

INSTD_LOGIC_VECTOR(3DOWNTO0);

__预置数据输入端口

COUNT:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);

__计数输出

CO:

OUTSTD-LOGIC;——进位输出

END;

ARCHITECTUREBEHAVEOFLS74160IS

SIGNALTEMP:

STD_LOGIC_VECTOR(3DOWNTO0);

BEGIN

IF(RST='1')THEM——复位信号高电平有效

TENP<="0000"

ELSIF(CLK'EVENTANDCLK='1')THEM

IFENA='1'THEM——计数使能,高电平计数,低电平保持

IFPE='1'THEMTEMP<=D;——数据预置

ELSIFTEMP="1001"THEN;

TEMP<="0000";——进位

CO<='1';

ELSETEMP<=TEMP+1;——计数

CO<='0';

ENDIF;

ENDIF;

ENDIF;

ENDPROCESS;

COUNT<=TEMP;

ENDBEHAVE;

3.3程序输入与波形仿真

3.3.1程序输入

打开MAX-PLUSII软件,建立输入文本文件,如图所示,

图3.2建立文本

输入语言程序进行编译、检错,如图所示,

图3.3编译

3.3.2波形仿真

程序运行通过后,单击MAX-PLUSII选项,选择waveformedirer可以用软件进行波形仿真。

在波形仿真界面点击Node选项进行输入输出管脚的添加,之后给出输入电平,显示输入波形,最后点击File-project-save&simulate,就可显示数出波形,如下图所示,

图3.4波形仿真

 

总结

作为一名自动化专业的大三学生,我觉得做EDA课程设计是十分有意义的,而且是十分必要的。

在已度过的大学时间里,我们大多数接触的是专业课。

我们在课堂上掌握的仅仅是专业课的理论知识,如何去锻炼我们的实践能力?

如何把我们所学的专业基础课理论知识运用到实践中去呢?

我想做类似的课程设计就为我们提供了良好的实践平台。

两个星期前我还在为到底选那个课题而烦恼,最后还是在包老师的耐心分析和指导下完成了课题的选定,但是随之而来的问题却远比我想像的要困难的多过没想到这项看起来不需要多少技术的工作却是非常需要耐心和精力在两个星期的今天我已明白课程设计对我来说的意义,它不仅仅是让我们把所学的理论知识与实践相结合起来,提高自己的实际动手能力和独立思考的能力,更重要的是同学间的团结,虽然我这次花去的时间比别人多,但我相信我们得到的也会更多!

在这次设计中我还学到了自己专业不可或缺的知识,在设计过程中我需要用到MAX-PLUSII软件,这是在EDA中所用到的编程软件,也是我第一次接触到的软件,在老师的教授下,我学会了此软件的基本用途。

通过这次课程设计我也发现了自身存在的不足之处,虽然感觉理论上已经掌握,但在运用到实践的过程中仍有意想不到的困惑,经过一番努力才得以解决。

这也激发了我今后努力学习的兴趣,我想这将对我以后的学习产生积极的影响。

通过这次设计,我懂得了学习的重要性,了解到理论知识与实践相结合的重要意义,学会了坚持、耐心和努力,这将为自己今后的学习和工作做出了最好的榜样。

致谢

首先,我要感谢我的老师包老师,她严谨细致、一丝不苟的作风一直是我学习的榜样,祈祷了指明灯的作用。

她循循善诱的教导和不拘一格的思路给予我无尽的启迪,让我很快就感受到了设计的快乐并融入其中。

其次我要感谢同学们对我的帮助和指点,没有他们的帮助和提供资料,没有他们的鼓励和加油,这次设计就不会如此的顺利进行。

在我看来,此次我最需要感谢的就是我的老师,如果不是她悉心的指导,耐心的教导我,也许我就不会完成这次设计的程序编写,因此我非常感谢我的老师包妍。

在论文即将完成之际,我的心情无法平静,从开始进入课题到论文的顺利完成,有多少可敬的师长、同学、朋友给了我无言的帮助,这里请接受我诚挚的谢意!

参考文献

[1]彭介华.电子技术课程设计指导.北京:

高等教育出版社,1997

[2]李国丽,朱维勇.电子技术实验指导书.合肥:

中国科技大学出版社,2000

[3]潘松,黄继业.EDA技术实用教程.北京:

科学出版社,2002

[4]郑家龙,王小海,章安元.集成电子技术基础教程.北京:

高等教育出版社,2002

[5]宋万杰,罗丰,吴顺君.CPLD技术及其应用.西安:

西安电子科技大学出版社,1999

[6]张昌凡,龙永红,彭涛.可编程逻辑器件及VHDL设计技术.广州:

华南工学院出版社,2001

[7]卢杰,赖毅.VHDL与数字电路设计.北京:

科学出版社,2001

[8]王金明,杨吉斌.数字系统设计与VerilogHDL.北京:

电子工业出版社,2002

[9]张明.VerilogHDL实用教程.成都:

电子科技大学出版社,1999

[10]姜雪松,张海风等.可编程逻辑器件和EDA设计技术,机械工业出版社

[11]Alteradigitallibrary,AlteraCorporation[M].Altera,2002

[12]Essentialelectronicdesignautomation(EDA)=MarkD.Birnbaum

[13]SweeteningthePot:

AddingGlycosylationtotheBiomarkerDiscoveryEquation

[14]EthnicDifferencesinC-ReactiveProteinConcentrations

[15]Twosensitivetime-resolvedfluoroimmunoassaysforcellularfibronectin

附录

附录A174160引脚图

附录A274160功能表

功能

输入

输出

操作

MR

CP

CEP

CET

PE

Dn

Qn

Te

复位

L

×

×

×

×

×

L

L

预置

H

C

×

×

L

L

L

L

预置

H

C

×

×

L

H

H

dn

计数

H

C

H

H

H

×

H

dn

保持

H

×

L

×

H

×

Qn

dn

保持

H

×

×

L

H

×

Qn

L

附录A374160计数器程序

LIBRARYIEEE;

USEIEEE.STD_LOGIC-1164.ALL;

USEIEEE.STD_LOGIC-UNSIGNED.ALL;

ENTITYLS74160IS

PORT(CLK,RST,ENA,PE:

INSTD_LOGIC;

__CLK计数脉冲,RST复位.ENA计数保持(仅能),PE数据预置.

D:

INSTD_LOGIC_VECTOR(3DOWNTO0);

__预置数据输入端口

COUNT:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);

__计数输出

CO:

OUTSTD-LOGIC;——进位输出

END;

ARCHITECTUREBEHAVEOFLS74160IS

SIGNALTEMP:

STD_LOGIC_VECTOR(3DOWNTO0);

BEGIN

IF(RST='1')THEM——复位信号高电平有效

TENP<="0000"

ELSIF(CLK'EVENTANDCLK='1')THEM

IFENA='1'THEM——计数使能,高电平计数,低电平保持

IFPE='1'THEMTEMP<=D;——数据预置

ELSIFTEMP="1001"THEN;

TEMP<="0000";——进位

CO<='1';

ELSETEMP<=TEMP+1;——计数

CO<='0';

ENDIF;

ENDIF;

ENDIF;

ENDPROCESS;

COUNT<=TEMP;

ENDBEHAVE;

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > PPT模板 > 商务科技

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1