EDA课程设计八位数码管扫描显示电路的设计文档格式.docx

上传人:b****7 文档编号:22198130 上传时间:2023-02-03 格式:DOCX 页数:19 大小:1.09MB
下载 相关 举报
EDA课程设计八位数码管扫描显示电路的设计文档格式.docx_第1页
第1页 / 共19页
EDA课程设计八位数码管扫描显示电路的设计文档格式.docx_第2页
第2页 / 共19页
EDA课程设计八位数码管扫描显示电路的设计文档格式.docx_第3页
第3页 / 共19页
EDA课程设计八位数码管扫描显示电路的设计文档格式.docx_第4页
第4页 / 共19页
EDA课程设计八位数码管扫描显示电路的设计文档格式.docx_第5页
第5页 / 共19页
点击查看更多>>
下载资源
资源描述

EDA课程设计八位数码管扫描显示电路的设计文档格式.docx

《EDA课程设计八位数码管扫描显示电路的设计文档格式.docx》由会员分享,可在线阅读,更多相关《EDA课程设计八位数码管扫描显示电路的设计文档格式.docx(19页珍藏版)》请在冰豆网上搜索。

EDA课程设计八位数码管扫描显示电路的设计文档格式.docx

要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个LED,并在使能每一个LED的同时,输入所需显示的数据对应的8位段码。

虽然8个LED是依次显示,但是受视觉分辨率的影响,看到的现象是8个LED同时工作。

多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率大于50Hz,将看不到闪烁现象。

2、系统结构图信号名与芯片引脚对照表

硬件资源

元件引脚

EP3C引脚序号

电路使用说明

LED

数码显示

A

133

该部分电路为固定电路。

使用LED数码显示时请按照器件引脚分配表进行引脚分配后再下载到芯片中。

B

135

C

136

D

137

E

138

F

141

G

142

Dp

128

74LS138

S1

125

S2

126

S3

127

3、LED连接图

4、课程设计使用设备

(1)EDA及SOPC综合实验平台;

(2)导线若干;

(3)PC机;

(4)QuartusII开发工具软件。

四、要求的设计成果

(1)根据控制要求设计硬件电路原理图。

(2)编写用于系统仿真的VHDL源程序。

(3)系统仿真及验证结果。

(4)编写《EDA技术及应用课程设计》报告,课程设计报告内容包括:

①设计方案、课程设计过程和设计思想、方法、原理。

②画出系统的原理图。

③VHDL语言程序及仿真波形。

④参考资料、参考书及参考手册。

⑤其他需要说明的问题,例如操作说明、程序的调试过程、遇到的问题及解决方法、对课程设计的认识和建议等。

⑥《可编程控制器技术课程设计》报告可以手写,也可以用电脑编排打印,报告格式按照《华中科技大学武昌分校课程设计管理办法》执行。

课程设计报告要求内容正确完整,图表清晰,叙述简明,语句通顺,字数不得少于2000汉字。

⑦课程设计报告按封面、任务书、设计说明书、图纸、实物照片贴页(实物照片贴在A4复印纸上)、成绩评定表的顺序装订。

五、进程安排

内容

时间

下达课程设计任务书。

讲解课程设计的任务与要求、进度安排、指导时间、注意事项、提供参考资料。

学生到实验室熟悉设备。

1天

搜集资料、方案论证、初步设计。

设计VHDL语言程序。

2天

在实验装置上进行硬件测试。

3天

方案优化、总结完善、整理资料、撰写课程设计报告。

答辩、课程设计总结。

共计

10天(2周)

六、主要参考资料

[1]郑燕,赫建国.基于VHDL与QuartusII软件的可编程逻辑器件应用于开发.北京:

国防工业出版社,2011.

[2]Altera.PinInformationfortheCycloneIIIEP3C10Device.SanJosé

:

AlteraCorp,2008.

[3]潘松,黄继业.EDA技术实用教程——VHDL版.北京:

科学出版社,2010.

指导教师(签名):

20年月日

1.课程设计题目及要求...............................................7

1.1课程设计题目....................................................7

1.2课程设计要求....................................................7

1.3系统总体方案设计................................................7

2.LED的工作原理...................................................8

2.1LED工作原理....................................................8

2.2LED动态扫描显示原理............................................9

3.系统设计.........................................................9

3.1硬件电路设计....................................................9

3.2VHDL代码设计...................................................9

4.运行调试......................................................,,13

4.1时序仿真.......................................................13

4.2硬件逻辑验证..................................................,15

4.3调试结果分析...................................................16

4.4调试中出现的问题及解决方法.....................................16

5总结..............................................,,,,,,,,,,,,,,,16

6.参考文献.........................................................17

7.附录1系统硬件电路图............................................18

附录2实物照片..................................................19

1.课程设计题目及要求

1.1课程设计题目

八位数码管静态扫描显示电路的设计

1.2课程设计要求

1.3系统总体方案设计

设计流程图如下:

首先,我们要对所要设计的八位数码管静态扫描显示电路充分理解,同时在了解了所给的硬件器材的基础上需进行“源程序的编辑和编译”——用一定的逻辑表达手段将设计表达出来;

其次要进行“逻辑综合”——将用一定的逻辑表达手段表达出来的设计,经过一系列的操作,分解成一系列的基本逻辑电路及对应关系;

然后要进行“目标器件的布线∕适配”——在选定的目标器件中建立这些基本逻辑电路及对应关系;

最后,目标器件的编程下载——将前面的软件设计经过编程变成具体的设计系统,同时在设计过程中要进行有关“仿真”——模拟有关设计结果,看是否与设计构想相符。

系统结构框图如下:

2.LED的工作原理

2.1LED工作原理

LED为分段式半导体显示器,通常称为七段发光二极管显示器。

下图为七段发光二极管显示器共阴极和共阳极的电路图。

对共阴极显示器的公共端应接地,给a-g输入相应高电平,对应字段的发光二极管显示十进制数;

对共阳极的公共端应接+5V电源,给a-g输入端相应低电平,对应字段的发光二极管也显示十进制数。

2.2LED动态扫描显示原理

3系统设计

3.1硬件电路设计

3.2VHDL程序设计

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_arith.all;

useieee.std_logic_unsigned.all;

useieee.std_logic_signed.all;

entityscan_seg8is

port(clk3,clk5:

instd_logic;

rst:

instd_logic;

seg_da:

outstd_logic_vector(7downto0);

seg_sel:

outstd_logic_vector(2downto0)

);

endscan_seg8;

architectureadoofscan_seg8is

signalseg_buf1,seg_buf2,seg_buf3,seg_buf4,seg_buf0:

std_logic_vector(3downto0);

signalseg_buf5,seg_buf6,seg_buf7,seg_buf8:

signalseg_cnt:

std_logic_vector(2downto0);

signalseg_temp:

signalclk:

std_logic;

begin

process(clk5)

ifclk5'

eventandclk5='

1'

then

clk<

=notclk;

endif;

endprocess;

process(clk5,rst)

eventandclk5='

ifclk='

seg_buf1<

="

0010"

;

seg_buf2<

seg_buf3<

1000"

seg_buf4<

seg_buf5<

0000"

seg_buf6<

0001"

seg_buf7<

seg_buf8<

else

1111"

process(clk3,rst)

ifclk3'

eventandclk3='

ifrst='

seg_cnt<

000"

=seg_cnt+1;

seg_sel<

=seg_cnt;

process(seg_cnt,seg_buf1,seg_buf2,seg_buf3,seg_buf4,seg_buf5,seg_buf6,seg_buf7,seg_buf8)

caseseg_cntis

wheno"

0"

=>

seg_temp<

=seg_buf1;

1"

=seg_buf2;

2"

=seg_buf3;

3"

=seg_buf4;

4"

=seg_buf5;

5"

=seg_buf6;

6"

=seg_buf7;

7"

=seg_buf8;

whenothers=>

XXXX"

endcase;

process(seg_temp)

caseseg_tempis

when"

=>

seg_da<

00111111"

00000110"

01011011"

0011"

01001111"

0100"

01100110"

0101"

01101101"

0110"

01111101"

0111"

00000111"

01111111"

1001"

01101111"

1010"

01110111"

1011"

01111100"

1100"

00111001"

1101"

01011110"

1110"

01111001"

00000000"

null;

endarchitectureado;

4运行调试

4.1时序仿真

(1)建立波形文件。

选择File项及其New,再选择New窗中的WaveformEditer..项,打开波形编辑窗。

(2)输入信号节点。

在波形编辑窗的上方选择Node项,在下拉菜单中选择输入信号,在弹出的窗口中首先点击List键,这时左窗口将列出设计所以信号节点。

由于设计者有时只需要观察其中部分信号的波形,因此要利用中间的“=>

”键将需要观察的信号选到右栏中,然后点击OK键即可将测试信号。

(3)设置波形参量。

波形编辑窗中已经调入了所有节点信号,在为编辑窗输出信号测试前,首先设定相关的仿真参数。

(4)设定仿真时间宽度。

选择File项及其Endtime选项,在Endtime选择窗中选择适当的仿真时间域,以便有足够长的观察时间。

(5)波形文件存盘。

选择File项及其Saveas选项,按OK键即可。

(6)运行仿真器,观察分析波形。

选中“processing”菜单下的“startsiulation”,直到出现“simulationwassuccessful”对话框。

把所有的参数都设定好了之后,就可以观察相关的波形了。

4.2硬件逻辑验证

(1)确认已经打开了工程scan_seg8。

(2)打开“assignments”菜单下的“pins”命令,打开引脚锁定窗口。

(3)用鼠标双击“to”栏中的“<

<

new>

>

”,再出现的下拉栏中选择本工程要锁定的端口信号名(例如clk),然后双击对应的“location”栏中的“<

”,在出现的下拉栏中选择对应端口信号名的器件引脚(例如对应clk,应选择29)。

(4)按前面提到的引脚信息添加锁定引脚,全部输入后单击工具栏上的保存按钮,保存引脚设置。

当冒个引脚锁定后,我们在“to”栏下看到该引脚将是斜体显示的,其他未锁定引脚则是正体显示。

保持完毕,必须再编译适配一次,才能将引脚锁定到最终的下载文件中,此后就可以将编译好的sof文件下载到实验系统的FPGA中去了。

4.3调试结果分析

将生成的sof文件通过下载线下载到实验箱中,进行相关的调试后正确显示了自己的学号。

比如我的学号为***********,8位数码管显示的内容应为********,018xxxxx。

那么实验就已经成功了。

4.4调试中出现的问题及解决方法

由于是第一次做这种课程设计。

所以,难免会遇到一些问题。

在将程序下载到试验箱上时,没有能如期望那样显示自己的学号。

然后,我又从程序出发检查了一遍,发现没有错误,然后我又进行了一次功能仿真发现波形图也没有问题。

所以,我就可以大胆的排除程序错误而导致的结果。

既然程序没有错误,想必应该是在传输程序的这个环节出了问题。

我又从选择的芯片入手,发现芯片选择没有问题,而且各项参数设置也没有问题。

我开始怀疑是不是芯片上的管脚设置上出现了问题。

于是,再请教了几位同学之后我发现果然管脚设置上出了问题,当我将错误的地方修改过后终于在硬件电路上看到了自己期望的结果。

5总结

通过此次实验我们进一步掌握QUARTUSII的的使用方法以及使用的各种规章流程。

同时,通过此次实验也加强了我对于VHDL这门语言的更深刻的认识,让我从感性上感知到了VHDL语言的独特之处。

以前在课堂上总是听老说VHDL语言与其他语言的不同就是VHDL是面向硬件的语言,它是会占硬件电路大小的,之前我还是没能体会到这句话的含义。

当通过这次试验后,我终于体会到了这句话的含义。

VHDL是EDA技术的重要组成部分,其具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化,结构化设计方面,表现了强大的生命力和应用潜力。

通过这次实验不仅让我专业知识得到了提高,同时也让我自身的一些不良习惯,像马虎,没有耐心等得到改善。

在设计时我们共同讨论,互相学习,互相借鉴,让我们同学之间,师生之间关系变得更融洽!

6.参考文献

【1】郑燕,赫建国.基于VHDL与QuartusII软件的可编程逻辑器件应用于开发.北京:

【2】Altera.PinInformationfortheCycloneIIIEP3C10Device.Sanjose:

AlteraCorp,2008.

【3】潘松,黄继业.EDA技术实用教程——VHDL版.北京:

科学出版社,2010.

6.附录1系统硬件电路图

附录2实物照片

课程设计成绩评定表

项目

比例

得分

平时成绩(百分制记分)

30%

业务考核成绩(百分制记分)

70%

总评成绩(百分制记分)

100%

评定等级

优良中及格不及格

20年月日

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 农林牧渔 > 畜牧兽医

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1