十六进制7段数码显示译码器设计实验报告Word文档格式.docx

上传人:b****7 文档编号:22001928 上传时间:2023-02-02 格式:DOCX 页数:12 大小:502.79KB
下载 相关 举报
十六进制7段数码显示译码器设计实验报告Word文档格式.docx_第1页
第1页 / 共12页
十六进制7段数码显示译码器设计实验报告Word文档格式.docx_第2页
第2页 / 共12页
十六进制7段数码显示译码器设计实验报告Word文档格式.docx_第3页
第3页 / 共12页
十六进制7段数码显示译码器设计实验报告Word文档格式.docx_第4页
第4页 / 共12页
十六进制7段数码显示译码器设计实验报告Word文档格式.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

十六进制7段数码显示译码器设计实验报告Word文档格式.docx

《十六进制7段数码显示译码器设计实验报告Word文档格式.docx》由会员分享,可在线阅读,更多相关《十六进制7段数码显示译码器设计实验报告Word文档格式.docx(12页珍藏版)》请在冰豆网上搜索。

十六进制7段数码显示译码器设计实验报告Word文档格式.docx

新建一个文件夹击打开vhdl文件;

步骤2:

编写源程序并保存

步骤3:

新建一个工程及进行工程设置

 

步骤4:

调试程序至无误;

步骤5:

接着新建一个VECTORWAVEFOM文件及展出仿真波形设置

步骤6:

输入数据并输出结果(时序仿真图)

步骤7:

设置好这个模式

步骤8:

生成RTL原理图

步骤9:

引脚锁定及源代码

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYDECL7SIS

PORT(A:

INSTD_LOGIC_VECTOR(3DOWNTO0);

LED7S:

OUTSTD_LOGIC_VECTOR(6DOWNTO0));

END;

ARCHITECTUREoneOFDECL7SIS

BEGIN

PROCESS(A)

BEGIN

CASEAIS

WHEN"

0000"

=>

LED7S<

="

0111111"

;

0001"

0000110"

0010"

1011011"

0011"

1001111"

0100"

1100110"

0101"

1101101"

0110"

1111101"

0111"

0000111"

1000"

1111111"

1001"

1101111"

1010"

1110111"

1011"

1111100"

1100"

0111001"

1101"

1011110"

1110"

1111001"

1111"

1110001"

WHENOTHERS=>

NULL;

ENDCASE;

ENDPROCESS;

实验容二:

1、硬件测试。

程序不一样,其他步骤相同操作

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYCNT4BIS

PORT(CLK,RST,ENA:

INSTD_LOGIC;

OUTY:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);

COUT:

OUTSTD_LOGIC);

ENDCNT4B;

ARCHITECTUREbehavOFCNT4BIS

BEGIN

PROCESS(CLK,RST,ENA)

VARIABLEQ:

STD_LOGIC_VECTOR(3DOWNTO0);

BEGIN

IFRST='

0'

THENQ:

=(OTHERS=>

'

);

ELSIFCLK'

EVENTANDCLK='

1'

THEN

IFENA='

Q:

=Q+1;

ENDIF;

IFQ="

THENCOUT<

='

ELSECOUT<

ENDIF;

OUTY<

=Q;

ENDPROCESS;

ENDbehav;

2、时序仿真波形:

3、RTL原理图:

实验容三:

1、源代码:

ENTITYadderIS

PORT(clock0,rst0,ena0:

led:

OUTSTD_LOGIC_VECTOR(6DOWNTO0);

cout0:

ENDENTITYadder;

ARCHITECTUREad1OFadderIS

COMPONENTCNT4B

PORT(CLK,RST,ENA:

ENDCOMPONENT;

COMPONENTDECL7S

PORT(A:

q:

SIGNALtmp:

u1:

CNT4BPORTMAP(CLK=>

clock0,RST=>

rst0,ENA=>

ena0,OUTY=>

tmp,COUT=>

cout0);

u2:

DECL7SPORTMAP(A=>

tmp,q=>

led);

ENDARCHITECTUREad1;

(计数器和译码器连接电路的顶层文件原理图)

注意:

运用实验三,调用实验一和实验二的RTl原理图得计数器和译码器连接电路的顶层文件原理图

在引脚锁定及硬件测试。

建议选GW48系统的实验电路模式6(参考附录图F-6),用数码8显示译码输出(PIO46~PIO40),键8、键7、键6和键5四位控制输入,硬件验证译码器的工作性能。

提示1:

目标器件选择MAX7000S系列的EPM7128SLC84-15。

提示2:

引脚锁定除了参考第5章第2节容外,具体引脚编号选定应参考“实验附注资料附注3:

万能接插口与结构图信号/与芯片引脚对照表”的“EPM7128S-PL84”栏目。

提示3:

选实验电路模式6,参考“实验附注资料附注2:

实验电路结构图”的“附图2-8实验电路结构图NO.6”栏目。

实验心得及个人心得:

通过本次实验,对QuartusII有了进一步的学习和认识,对Verilog也有了深入了解。

学会了7段数码显示译码器的Verilog硬件设计,学习了VHDL的CASE语句应用及多层次设计方法。

在设计顶层文件时,最有深刻体会,自己在不知道弄错了多少次和请教过别人多次,在终于知道顶层文件怎样生成的所以我们应该学会认真分析程序,弄清实验原理,做实验时耐心、认真,遇到问题争取自己解决。

认真总结实验,分析波形,完成实验报告。

特别经过一个学期的学习,我并不说我完全懂得EDA技术,我知道在程序方面还有很多要学习的,对于EDA,我都还懂得一些必要的语法和程序。

这门课程锻炼了我读程序的能力和分析语法用法的能力,为我将来学更高级的语言打下了基础,很多语言都是有相通的地方,只是有些用法不太一样而已。

但我学到了运用QuartusII这个软件,并靠自己慢慢去摸索,慢慢研究。

能多学一些软件就多学一些,毕竟不知道将来的路怎样发展。

虽然老师说EDA这门课程出来后用处不大,但我觉得锻炼了自我学能力和分析问题、解决问题的能力。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 农学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1